Skip to main content

A high-speed robust NVM-TCAM design using body bias feedback

Publication ,  Conference
Yan, B; Li, Z; Zhang, Y; Yang, J; Zhao, W; Chia, PCF; Li, H
Published in: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI
May 20, 2015

As manufacture process scales down rapidly, the design of ternary content-addressable memory (TCAM) requiring high storage density, fast access speed and low power consumption becomes very challenging. In recent years, many novel TCAM designs have been inspired by the research on emerging nonvolatile memory technologies, such as magnetic tunneling junction (MTJ), phase change memory (PCM), and memristor. These designs store a data as the resistive variable of a nonvolatile device, which usually results in limited sensing margin and therefore constrains the searching speed of TCAM architecture severely. To further enhance the performance and robustness of TCAMs, we proposed two novel cell designs that utilize MTJs as data storage units-the symmetrical dual-N structure and the asymmetrical P-N scheme. In both designs, a body bias feedback circuit is integrated to enlarge the sensing margins. Compared with an existing MTJ-based TCAM structure, the tolerance in gate voltage variation of the symmetrical dua-N (asymmetrical P-N) scheme can significantly improve 59.5% (21.2%). The latency and the dynamic energy consumption in one searching operation at the word length of 256 bits are merely 590.35ps (97.89ps) and 65.05fJ/bit (36.85fJ/bit), not even mentioning that the use of nonvolatile MTJ devices avoids unnecessary leakage power consumption.

Duke Scholars

Published In

Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI

DOI

ISBN

9781450334747

Publication Date

May 20, 2015

Volume

20-22-May-2015

Start / End Page

69 / 74
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Yan, B., Li, Z., Zhang, Y., Yang, J., Zhao, W., Chia, P. C. F., & Li, H. (2015). A high-speed robust NVM-TCAM design using body bias feedback. In Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI (Vol. 20-22-May-2015, pp. 69–74). https://doi.org/10.1145/2742060.2742077
Yan, B., Z. Li, Y. Zhang, J. Yang, W. Zhao, P. C. F. Chia, and H. Li. “A high-speed robust NVM-TCAM design using body bias feedback.” In Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI, 20-22-May-2015:69–74, 2015. https://doi.org/10.1145/2742060.2742077.
Yan B, Li Z, Zhang Y, Yang J, Zhao W, Chia PCF, et al. A high-speed robust NVM-TCAM design using body bias feedback. In: Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2015. p. 69–74.
Yan, B., et al. “A high-speed robust NVM-TCAM design using body bias feedback.” Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI, vol. 20-22-May-2015, 2015, pp. 69–74. Scopus, doi:10.1145/2742060.2742077.
Yan B, Li Z, Zhang Y, Yang J, Zhao W, Chia PCF, Li H. A high-speed robust NVM-TCAM design using body bias feedback. Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI. 2015. p. 69–74.

Published In

Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI

DOI

ISBN

9781450334747

Publication Date

May 20, 2015

Volume

20-22-May-2015

Start / End Page

69 / 74