Skip to main content

An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory

Publication ,  Journal Article
Mao, M; Wen, W; Zhang, Y; Chen, Y; Li, H
Published in: IEEE Transactions on Computers
September 1, 2017

Extreme multi-Threading and fast thread switching in modern GPGPU require a large, power-hungry register file (RF), which quickly becomes one of major obstacles on the upscaling path of energy-efficient GPGPU computing. In this work, we propose to implement a power-efficient GPGPU RF built on the newly emerged racetrack memory. Racetrack memory has small cell area, low dynamic power, and nonvolatility. Its unique access mechanism, however, results in a long and location-dependent access latency, which offsets the energy saving benefit it introduces and probably harms the performance. In order to conquer the adverse impacts of racetrack memory based RF designs, we first propose a register mapping scheme to reduce the average access latency. Based on the register mapping, we develop a racetrack memory aware warp scheduling (RMWS) algorithm to further suppress the access latency. RMWS design includes a new write buffer structure that improves the scheduling efficiency as well as energy saving. We also investigate and optimize the design where multiple concurrent RMWS schedulers are employed. Experiment results show that our propose techniques can keep a GPGPU performance similar to the baseline with SRAM based RF while the RF energy is significantly reduced by 48.5 percent.

Duke Scholars

Published In

IEEE Transactions on Computers

DOI

ISSN

0018-9340

Publication Date

September 1, 2017

Volume

66

Issue

9

Start / End Page

1478 / 1490

Related Subject Headings

  • Computer Hardware & Architecture
  • 4606 Distributed computing and systems software
  • 4009 Electronics, sensors and digital hardware
  • 1006 Computer Hardware
  • 0805 Distributed Computing
  • 0803 Computer Software
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Mao, M., Wen, W., Zhang, Y., Chen, Y., & Li, H. (2017). An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory. IEEE Transactions on Computers, 66(9), 1478–1490. https://doi.org/10.1109/TC.2017.2690855
Mao, M., W. Wen, Y. Zhang, Y. Chen, and H. Li. “An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory.” IEEE Transactions on Computers 66, no. 9 (September 1, 2017): 1478–90. https://doi.org/10.1109/TC.2017.2690855.
Mao M, Wen W, Zhang Y, Chen Y, Li H. An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory. IEEE Transactions on Computers. 2017 Sep 1;66(9):1478–90.
Mao, M., et al. “An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory.” IEEE Transactions on Computers, vol. 66, no. 9, Sept. 2017, pp. 1478–90. Scopus, doi:10.1109/TC.2017.2690855.
Mao M, Wen W, Zhang Y, Chen Y, Li H. An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory. IEEE Transactions on Computers. 2017 Sep 1;66(9):1478–1490.

Published In

IEEE Transactions on Computers

DOI

ISSN

0018-9340

Publication Date

September 1, 2017

Volume

66

Issue

9

Start / End Page

1478 / 1490

Related Subject Headings

  • Computer Hardware & Architecture
  • 4606 Distributed computing and systems software
  • 4009 Electronics, sensors and digital hardware
  • 1006 Computer Hardware
  • 0805 Distributed Computing
  • 0803 Computer Software