Krishnendu Chakrabarty
John Cocke Distinguished Professor of Electrical and Computer Engineering
Krishnendu Chakrabarty is the John Cocke Distinguished Professor of Electrical and Computer Engineering and Professor of Computer Science at Duke University. He is Chair of the Department of Electrical and Computer Engineering.
Current Research Interests
Testing and design-for-testability of integrated circuits and systems (SOCs, 3D, manycore); microfluidic biochips and cyberphysical systems; resilient computing systems; hardware security; machine learning hardware and neuromorphic computing systems
Current Appointments & Affiliations
- John Cocke Distinguished Professor of Electrical and Computer Engineering, Electrical and Computer Engineering, Pratt School of Engineering 2019
- Professor of Electrical and Computer Engineering, Electrical and Computer Engineering, Pratt School of Engineering 2007
- Chair of the Department of Electrical and Computer Engineering, Electrical and Computer Engineering, Pratt School of Engineering 2017
- Professor of Computer Science, Computer Science, Trinity College of Arts & Sciences 2019
Contact Information
- 2513 CIEMAS, Durham, NC 27708
- Box 90291, 130 Hudson Hall, Durham, NC 27708-0291
-
krish@ee.duke.edu
(919) 660-5270
-
http://people.ee.duke.edu/~krish/
- Background
-
Education, Training, & Certifications
- Ph.D., University of Michigan, Ann Arbor 1995
- M.S., University of Michigan, Ann Arbor 1992
-
Duke Appointment History
- Director of Graduate Studies, Electrical and Computer Engineering, Pratt School of Engineering 2016 - 2017
- Professor in the Department of Computer Science, Computer Science, Trinity College of Arts & Sciences 2014 - 2016
- Associate Professor of Electrical and Computer Engineering, Electrical and Computer Engineering, Pratt School of Engineering 2003 - 2007
- Assistant Professor of Electrical and Computer Engineering, Electrical and Computer Engineering, Pratt School of Engineering 1998 - 2002
- Recognition
-
In the News
-
JAN 21, 2021 Pratt School of Engineering -
MAY 21, 2020 Pratt School of Engineering -
NOV 27, 2018 -
NOV 21, 2013
-
-
Awards & Honors
- Expertise
-
Global Scholarship
- Research
-
Selected Grants
- SaTC: CORE: Medium: Collaborative: Secure and Trustworthy Cyberphysical Microfluidic Systems awarded by National Science Foundation 2021 - 2024
- SaTC: CORE: Small: Security of FPGA-as-a-Service Reconfigurable Systems awarded by National Science Foundation 2020 - 2023
- Securing 2.5D/3D ICs Against IP Theft awarded by Semiconductor Research Corp. 2020 - 2023
- IUCRC Proposal Phase 1 Duke: Center for Alternative Sustainable and Intelligent Computing (ASIC) awarded by National Science Foundation 2018 - 2023
- Collaborative Research: CNS Core: Medium: Exploiting Synergies between Machine learning algorithms and hardware heterogeneity for high-performance and Reliable Manycore Computing Platforms awarded by National Science Foundation 2020 - 2023
- SHF: Small: Testing and Design-for-Test Techniques for Monolith 3D Integrated Circuits awarded by National Science Foundation 2019 - 2022
- Computing Innovation Fellows 2020 Project awarded by Computing Research Association 2020 - 2022
- Adaptive Protocol Synthesis and Error Recovery in Micro-Electrode-Dot-Array (MEDA) Microfluidic Biochips awarded by National Science Foundation 2019 - 2022
- SHF: Medium: Microbiology on a Programmable Biochip: An Integrated Hardware/Software Digital Microfluidics Platform awarded by National Science Foundation 2017 - 2022
- Functional Fault Modeling and Testing of Machine-Learning Hardware awarded by Semiconductor Research Corp. 2019 - 2021
- EAGER: Collaborative: Secure and Trustworthy Cyberphysical Microfluidic Systems awarded by National Science Foundation 2018 - 2021
- The Path Towards SoC Survivablilty: Synergies between Machine Learning, FPGA's, and 5G Networks awarded by Intel Corporation 2018 - 2021
- RTL-to-GDS Tools and Methodologies for Sequential Integration Monolithic 3D ICs awarded by Georgia Institute of Technology 2018 - 2021
- Secure DNA Forensics Using Microfluidic Biochips awarded by New York University 2017 - 2020
- 2.5D Extendible Processor awarded by North Carolina State University 2017 - 2019
- EAGER: Cybermanufacturing: Design of an Agile and Smart Manufacturing Exchange: Enabling Small Businesses through Standardized Protocols and Distributed Optimization awarded by National Science Foundation 2015 - 2018
- Planning IUCRC Duke University: Center for Alternative Sustainable and Intelligent Computing awarded by National Science Foundation 2017 - 2018
- System-Physician-on-Chip (SPOC): Health-Monitoring Infrastructure IP and Run-Time Adaption awarded by Semiconductor Research Corp. 2014 - 2018
- CPS: Medium :Hardware/Software Co-Design for the Life Sciences: Towards a Programmable and Reconfigurable Lab-on Chip awarded by National Science Foundation 2011 - 2017
- Test and Design-for-Testability Solutions for 2.5D/3D Integrated Circuits awarded by Semiconductor Research Corp. 2014 - 2017
- US -Germany Collaboration :Satisfiability-Based Test Generation for Small-Delay Defects in Nanoscale Integrated Circuits awarded by National Science Foundation 2012 - 2015
- SHF: Small: Collaborative Research: Testing and Design-for-Testability Solutions for 3D Stacked Integrated Circuits awarded by National Science Foundation 2010 - 2014
- SHF:Small:Design Tools and Optimization Methods for Digital Microfluidic Biochips awarded by National Science Foundation 2009 - 2013
- Optimization of Test and Diagnosis Infrastructure for Multicore Chips awarded by National Science Foundation 2009 - 2013
- Planning Visit: US/India Collaborative Research on Algorithms for Digital Microfluidic Biochips awarded by National Science Foundation 2010 - 2012
- GOALI: Collaborative Research: Scalable Techniques for Detecting Small-Delay Defects in Nanometer Integrated Circuits awarded by National Science Foundation 2008 - 2011
- Collaborative Research: Circuit and Systems Architectures for Self-Assembled Nanoscale Computers awarded by National Science Foundation 2007 - 2011
- Synthesis, Test, and Reconfiguration Techniques for Microfluidics-Based Biochips awarded by National Science Foundation 2006 - 2010
- US/Japan Collaboration: Efficient Test and Diagnosis Techniques for System-on-Chip awarded by National Science Foundation 2004 - 2008
- Test Resource Partitioning and Optimization for System-on-a-Chip awarded by National Science Foundation 2002 - 2007
- Investigations into Droplet-Based Microelectrofluidic Technology for Hot Spot Cooling and Thermal Management in Integrated Circuits awarded by National Science Foundation 2003 - 2007
- ITR: Collaborative Research: Reconfigurable Architectures for Bio-Molecular Detection: Modeling, Experimentation, and Optimization awarded by National Science Foundation 2003 - 2006
- Theory and Algorithms for Sensor Deployment and Data Fusion in Distributed Sensor Networks awarded by Office of Naval Research 2001 - 2004
- Architectural Design of Integrated Voice/Data Networks awarded by Lord Foundation of North Carolina 2000 - 2003
- Testing Core-Based Systems Using Precomputed Test Sets awarded by National Science Foundation 1999 - 2003
- Start-up Funds: VLSI Design and Test with Embedded Systems awarded by Lord Foundation of North Carolina 1999 - 2002
- Investigations into Micro-Scale Heat Transfer and CAD Tools for Thermal Management in Deep-Submicron Integrated Circuits awarded by National Science Foundation 2000
- Publications & Artistic Works
-
Selected Publications
-
Books
-
Hu, K., K. Chakrabarty, and T. Y. Ho. Computer-aided design of microfluidic very large scale integration (mVLSI) biochips: Design automation, testing, and design-for-testability, 2017. https://doi.org/10.1007/978-3-319-56255-1.Full Text
-
Wang, R., and K. Chakrabarty. Testing of interposer-based 2.5D integrated circuits, 2017. https://doi.org/10.1007/978-3-319-54714-5.Full Text
-
Goel, S. K., and K. Chakrabarty. Preface, 2017. https://doi.org/10.1201/b15549.Full Text
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. Knowledge-driven board-level functional fault diagnosis, 2017. https://doi.org/10.1007/978-3-319-40210-9.Full Text
-
Duan, Q., K. Chakrabarty, and J. Zeng. Data-driven optimization and knowledge discovery for an enterprise information system, 2015. https://doi.org/10.1007/978-3-319-18738-9.Full Text
-
Luo, Y., K. Chakrabarty, and T. Y. Ho. Hardware/software co-design and optimization for cyberphysical integration in digital microfluidic biochips, 2015. https://doi.org/10.1007/978-3-319-09006-1.Full Text
-
Noia, B., and K. Chakrabarty. Design-for-test and test optimization techniques for TSV-based 3D stacked ICs, 2014. https://doi.org/10.1007/978-3-319-02378-6.Full Text
-
Zhao, Y., and K. Chakrabarty. Design and testing of digital microfluidic biochips. Vol. 9781461403708, 2013. https://doi.org/10.1007/978-1-4614-0370-8.Full Text
-
Pande, P. P., A. Ganguly, and K. Chakrabarty. Preface, 2013. https://doi.org/10.1007/978-1-4614-4975-1.Full Text
-
Tehranipoor, Mohammad, Ke Peng, and Krishnendu Chakrabarty. Test and Diagnosis for Small-Delay Defects. Springer, 2011.
-
Tehranipoor, M., K. Peng, and K. Chakrabarty. Test and diagnosis for small-delay defects. Vol. 9781441982971, 2010. https://doi.org/10.1007/978-1-4419-8297-1_1.Full Text
-
Chakrabarty, Krishnendu, and Tao Xu. Digital Microfluidic Biochips - Design Automation and Optimization. CRC Press, 2010.
-
Chakrabarty, Krishnendu, and Fei Su. Digital Microfluidic Biochips - Synthesis, Testing, and Reconfiguration Techniques. CRC Press, 2007.
-
Chakrabarty, K., and S. S. Iyengar. Scalable infrastructure for distributed sensor networks, 2005. https://doi.org/10.1007/1-84628-213-6.Full Text
-
Chakrabarty, Krishnendu, Vikram Iyengar, and Anshuman Chandra. Test Resource Partitioning for System-on-a-Chip. Vol. 20. Kluwer / Springer, 2002.
-
Zhang, T., K. Chakrabarty, and R. B. Fair. Microelectrofluidic systems: Modeling and simulation, 2002.
-
-
Academic Articles
-
Moradi, Y., M. Ibrahim, K. Chakrabarty, and U. Schlichtmann. “An Efficient Fault-Tolerant Valve-Based Microfluidic Routing Fabric for Droplet Barcoding in Single-Cell Analysis.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 39, no. 2 (February 1, 2020): 359–72. https://doi.org/10.1109/TCAD.2018.2889765.Full Text
-
Tang, J., M. Ibrahim, K. Chakrabarty, and R. Karri. “Synthesis of Tamper-Resistant Pin-Constrained Digital Microfluidic Biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 39, no. 1 (January 1, 2020): 171–84. https://doi.org/10.1109/TCAD.2018.2883901.Full Text
-
Chakrabarti, A., and K. Chakrabarty. “A Proposal to Adjust the Time-Keeping Systems for Savings in Cycling Operation and Carbon Emission.” Journal of the Institution of Engineers (India): Series B 100, no. 6 (December 1, 2019): 541–50. https://doi.org/10.1007/s40031-019-00419-7.Full Text
-
Zhong, Zhanwei, Zipeng Li, Krishnendu Chakrabarty, Tsung-Yi Ho, and Chen-Yi Lee. “Micro-Electrode-Dot-Array Digital Microfluidic Biochips: Technology, Design Automation, and Test Techniques.” Ieee Transactions on Biomedical Circuits and Systems 13, no. 2 (April 2019): 292–313. https://doi.org/10.1109/tbcas.2018.2886952.Full Text
-
Zhong, Z., Z. Li, and K. Chakrabarty. “Adaptive and Roll-Forward Error Recovery in MEDA Biochips Based on Droplet-Aliquot Operations and Predictive Analysis.” Ieee Transactions on Multi Scale Computing Systems 4, no. 4 (October 1, 2018): 577–92. https://doi.org/10.1109/TMSCS.2018.2827030.Full Text
-
Tang, J., M. Ibrahim, K. Chakrabarty, and R. Karri. “Secure Randomized Checkpointing for Digital Microfluidic Biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 37, no. 6 (June 1, 2018): 1119–32. https://doi.org/10.1109/TCAD.2017.2748030.Full Text
-
Li, Z., K. Y. T. Lai, P. H. Yu, K. Chakrabarty, T. Y. Ho, and C. Y. Lee. “Structural and Functional Test Methods for Micro-Electrode-Dot-Array Digital Microfluidic Biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 37, no. 5 (May 1, 2018): 968–81. https://doi.org/10.1109/TCAD.2017.2740299.Full Text
-
Vijayan, A., A. Koneru, S. Kiamehr, K. Chakrabarty, and M. B. Tahoori. “Fine-Grained Aging-Induced Delay Prediction Based on the Monitoring of Run-Time Stress.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 37, no. 5 (May 1, 2018): 1064–75. https://doi.org/10.1109/TCAD.2016.2620903.Full Text
-
Elnaggar, R., and K. Chakrabarty. “Machine Learning for Hardware Security: Opportunities and Risks.” Journal of Electronic Testing: Theory and Applications (Jetta) 34, no. 2 (April 1, 2018): 183–201. https://doi.org/10.1007/s10836-018-5726-9.Full Text
-
Tenentes, V., D. Rossi, S. Khursheed, B. M. Al-Hashimi, and K. Chakrabarty. “Leakage current analysis for diagnosis of bridge defects in power-gating designs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 37, no. 4 (April 1, 2018): 883–95. https://doi.org/10.1109/TCAD.2017.2729462.Full Text
-
Li, Z., K. Y. T. Lai, J. McCrone, P. H. Yu, K. Chakrabarty, M. Pajic, T. Y. Ho, and C. Y. Lee. “Efficient and Adaptive Error Recovery in a Micro-Electrode-Dot-Array Digital Microfluidic Biochip.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 37, no. 3 (March 1, 2018): 601–14. https://doi.org/10.1109/TCAD.2017.2729347.Full Text
-
Xia, L., W. Huangfu, T. Tang, X. Yin, K. Chakrabarty, Y. Xie, Y. Wang, and H. Yang. “Stuck-at Fault Tolerance in RRAM Computing Systems.” Ieee Journal on Emerging and Selected Topics in Circuits and Systems 8, no. 1 (March 1, 2018): 102–15. https://doi.org/10.1109/JETCAS.2017.2776980.Full Text
-
Vijayan, A., S. Kiamehr, M. Ebrahimi, K. Chakrabarty, and M. B. Tahoori. “Online soft-error vulnerability estimation for memory arrays and logic cores.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 37, no. 2 (February 1, 2018): 499–511. https://doi.org/10.1109/TCAD.2017.2706558.Full Text
-
Wang, S., R. Wang, K. Chakrabarty, and M. B. Tahoori. “Multicast testing of interposer-based 2.5D ICs: Test-architecture design and test scheduling.” Acm Transactions on Design Automation of Electronic Systems 23, no. 3 (February 1, 2018). https://doi.org/10.1145/3177879.Full Text
-
Li, Zipeng, Kelvin Yi-Tse Lai, Krishnendu Chakrabarty, Tsung-Yi Ho, and Chen-Yi Lee. “Droplet Size-Aware and Error-Correcting Sample Preparation Using Micro-Electrode-Dot-Array Digital Microfluidic Biochips.” Ieee Transactions on Biomedical Circuits and Systems 11, no. 6 (December 2017): 1380–91. https://doi.org/10.1109/tbcas.2017.2742548.Full Text
-
Vartziotis, F., X. Kavousianos, P. Georgiou, and K. Chakrabarty. “A Branch-&-Bound Test-Access-Mechanism Optimization Method for Multi-$V-{\mathrm{ dd}}$ SoCs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 36, no. 11 (November 1, 2017): 1911–24. https://doi.org/10.1109/TCAD.2017.2664062.Full Text
-
Shukla, V., F. A. Hussin, N. H. Hamid, N. B. Z. Ali, and K. Chakrabarty. “Offline Error Detection in MEDA-Based Digital Microfluidic Biochips Using Oscillation-Based Testing Methodology.” Journal of Electronic Testing: Theory and Applications (Jetta) 33, no. 5 (October 1, 2017): 621–35. https://doi.org/10.1007/s10836-017-5678-5.Full Text
-
Wang, R., and K. Chakrabarty. “Tackling Test Challenges for Interposer-Based 2.5-D Integrated Circuits.” Ieee Design and Test 34, no. 5 (October 1, 2017): 72–79. https://doi.org/10.1109/MDAT.2017.2705077.Full Text
-
Chakrabarty, K., M. Alioto, and R. Joshi. “Editorial.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 25, no. 9 (September 1, 2017): 2393. https://doi.org/10.1109/TVLSI.2017.2737278.Full Text
-
Das, S., D. Lee, W. Choi, J. R. Doppa, P. P. Pande, and K. Chakrabarty. “VFI-Based Power Management to Enhance the Lifetime of High-Performance 3D NoCs.” Acm Transactions on Design Automation of Electronic Systems 23, no. 1 (August 1, 2017). https://doi.org/10.1145/3092843.Full Text
-
Wang, R., Z. Li, S. Kannan, and K. Chakrabarty. “Prebond Testing and Test-Path Design for the Silicon Interposer in 2.5-D ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 36, no. 8 (August 1, 2017): 1406–19. https://doi.org/10.1109/TCAD.2016.2629422.Full Text
-
Koneru, A., S. Kannan, and K. Chakrabarty. “Impact of electrostatic coupling and wafer-bonding defects on delay testing of monolithic 3D integrated circuits.” Acm Journal on Emerging Technologies in Computing Systems 13, no. 4 (July 1, 2017). https://doi.org/10.1145/3041026.Full Text
-
Chakrabarty, K. “Quo Vadis Test? the Past, the Present, and the Future: No Longer a Necessary Evil.” Ieee Design and Test 34, no. 3 (June 1, 2017): 93–95. https://doi.org/10.1109/MDAT.2017.2686584.Full Text
-
Li, Zipeng, Kelvin Yi-Tse Lai, Po-Hsien Yu, Krishnendu Chakrabarty, Tsung-Yi Ho, and Chen-Yi Lee. “Droplet Size-Aware High-Level Synthesis for Micro-Electrode-Dot-Array Digital Microfluidic Biochips.” Ieee Transactions on Biomedical Circuits and Systems 11, no. 3 (June 2017): 612–26. https://doi.org/10.1109/tbcas.2017.2653808.Full Text
-
Das, S., J. R. Doppa, P. P. Pande, and K. Chakrabarty. “Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3-D Small-World Network-on-Chip.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 36, no. 5 (May 1, 2017): 719–32. https://doi.org/10.1109/TCAD.2016.2604288.Full Text
-
Ibrahim, M., K. Chakrabarty, and K. Scott. “Synthesis of Cyberphysical Digital-Microfluidic Biochips for Real-Time Quantitative Analysis.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 36, no. 5 (May 1, 2017): 733–46. https://doi.org/10.1109/TCAD.2016.2600626.Full Text
-
Kundu, S., D. Chatterjee, and K. Chakrabarty. “Bifurcation behaviour of PWM controlled DC series motor drive.” Iet Power Electronics 10, no. 3 (March 10, 2017): 279–91. https://doi.org/10.1049/iet-pel.2015.0895.Full Text
-
Bhattacharjee, S., S. Chatterjee, A. Banerjee, T. Y. Ho, K. Chakrabarty, and B. B. Bhattacharya. “Adaptation of Biochemical Protocols to Handle Technology-Change for Digital Microfluidics.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 36, no. 3 (March 1, 2017): 370–83. https://doi.org/10.1109/TCAD.2016.2585622.Full Text
-
Chakrabarty, K. “Editorial.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 25, no. 1 (January 1, 2017): 1–20. https://doi.org/10.1109/TVLSI.2016.2638578.Full Text
-
Hu, K., T. A. Dinh, T. Y. Ho, and K. Chakrabarty. “Control-Layer Routing and Control-Pin Minimization for Flow-Based Microfluidic Biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 36, no. 1 (January 1, 2017): 55–68. https://doi.org/10.1109/TCAD.2016.2568198.Full Text
-
Xiang, D., K. Chakrabarty, and H. Fujiwara. “Multicast-Based Testing and Thermal-Aware Test Scheduling for 3D ICs with a Stacked Network-on-Chip.” Ieee Transactions on Computers 65, no. 9 (September 1, 2016): 2767–79. https://doi.org/10.1109/TC.2015.2493548.Full Text
-
Ali, S. S., M. Ibrahim, J. Rajendran, O. Sinanoglu, and K. Chakrabarty. “Supply-Chain Security of Digital Microfluidic Biochips.” Computer 49, no. 8 (August 1, 2016): 36–43. https://doi.org/10.1109/MC.2016.224.Full Text
-
Chakrabarty, K., and M. Alioto. “Editorial First TVLSI Best AE and Reviewer Awards.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 24, no. 8 (August 1, 2016): 2613. https://doi.org/10.1109/TVLSI.2016.2583178.Full Text
-
Li, T., F. Xie, X. Liang, Q. Xu, K. Chakrabarty, N. Jing, and L. Jiang. “A novel test method for metallic CNTs in CNFET-Based SRAMs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 35, no. 7 (July 1, 2016): 1192–1205. https://doi.org/10.1109/TCAD.2015.2512909.Full Text
-
Poddar, S., S. Ghoshal, K. Chakrabarty, and B. B. Bhattacharya. “Error-correcting sample preparation with cyberphysical digital microfluidic lab-on-chip.” Acm Transactions on Design Automation of Electronic Systems 22, no. 1 (July 1, 2016). https://doi.org/10.1145/2898999.Full Text
-
Ibrahim, M., and K. Chakrabarty. “Digital-Microfluidic Biochips.” Computer 49, no. 6 (June 1, 2016): 8–9. https://doi.org/10.1109/MC.2016.187.Full Text
-
Jin, S., F. Ye, Z. Zhang, K. Chakrabarty, and X. Gu. “Efficient Board-Level Functional Fault Diagnosis with Missing Syndromes.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 35, no. 6 (June 1, 2016): 985–98. https://doi.org/10.1109/TCAD.2015.2481859.Full Text Open Access Copy
-
Ali, Sk Subidh, Mohamed Ibrahim, Ozgur Sinanoglu, Krishnendu Chakrabarty, and Ramesh Karri. “Security Assessment of Cyberphysical Digital Microfluidic Biochips.” Ieee/Acm Transactions on Computational Biology and Bioinformatics 13, no. 3 (May 2016): 445–58. https://doi.org/10.1109/tcbb.2015.2509991.Full Text
-
Hu, K., T. Y. Ho, and K. Chakrabarty. “Wash Optimization and Analysis for Cross-Contamination Removal under Physical Constraints in Flow-Based Microfluidic Biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 35, no. 4 (April 1, 2016): 559–72. https://doi.org/10.1109/TCAD.2015.2488485.Full Text
-
Ye, F., F. Firouzi, Y. Yang, K. Chakrabarty, and M. B. Tahoori. “On-chip droop-induced circuit delay prediction based on support-vector machines.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 35, no. 4 (April 1, 2016): 665–78. https://doi.org/10.1109/TCAD.2015.2474392.Full Text
-
Agrawal, M., K. Chakrabarty, and B. Eklow. “A Distributed, Reconfigurable, and Reusable BIST Infrastructure for Test and Diagnosis of 3-D-Stacked ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 35, no. 2 (February 1, 2016): 309–22. https://doi.org/10.1109/TCAD.2015.2459044.Full Text
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. “Adaptive Board-Level Functional Fault Diagnosis Using Incremental Decision Trees.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 35, no. 2 (February 1, 2016): 323–36. https://doi.org/10.1109/TCAD.2015.2459046.Full Text
-
Li, Z., T. Y. Ho, and K. Chakrabarty. “Optimization of 3D digital microfluidic biochips for the multiplexed polymerase chain reaction.” Acm Transactions on Design Automation of Electronic Systems 21, no. 2 (January 1, 2016). https://doi.org/10.1145/2811259.Full Text
-
Wang, R., G. Li, R. Li, J. Qian, and K. Chakrabarty. “ExTest Scheduling and Optimization for 2.5D SoCs with Wrapped Tiles.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems PP, no. 99 (January 1, 2016). https://doi.org/10.1109/TCAD.2016.2611515.Full Text
-
Deutsch, S., K. Chakrabarty, and E. J. Marinissen. “Robust Optimization of Test-Access Architectures under Realistic Scenarios.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 34, no. 11 (November 1, 2015): 1873–84. https://doi.org/10.1109/TCAD.2015.2432139.Full Text
-
Mitra, D., S. Ghoshal, H. Rahaman, K. Chakrabarty, and B. B. Bhattacharya. “Offline washing schemes for residue removal in digital microfluidic biochips.” Acm Transactions on Design Automation of Electronic Systems 21, no. 1 (November 1, 2015). https://doi.org/10.1145/2798726.Full Text
-
Agrawal, M., and K. Chakrabarty. “Test-cost modeling and optimal test-flow selection of 3-D-stacked ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 34, no. 9 (September 1, 2015): 1523–36. https://doi.org/10.1109/TCAD.2015.2419227.Full Text Open Access Copy
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. “Information-theoretic syndrome evaluation, statistical root-cause analysis, and correlation-based feature selection for guiding board-level fault diagnosis.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 34, no. 6 (June 1, 2015): 1014–26. https://doi.org/10.1109/TCAD.2015.2399438.Full Text
-
Duan, Q., J. Zeng, K. Chakrabarty, and G. Dispoto. “Real-Time Production Scheduler for Digital-Print-Service Providers Based on a Dynamic Incremental Evolutionary Algorithm.” Ieee Transactions on Automation Science and Engineering 12, no. 2 (April 1, 2015): 701–15. https://doi.org/10.1109/TASE.2014.2304177.Full Text
-
Vartziotis, F., X. Kavousianos, K. Chakrabarty, A. Jain, and R. Parekhji. “Time-Division Multiplexing for Testing DVFS-Based SoCs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 34, no. 4 (April 1, 2015): 668–81. https://doi.org/10.1109/TCAD.2015.2394462.Full Text
-
Duan, Q., J. Zeng, K. Chakrabarty, and G. Dispoto. “Accurate predictions of process-execution time and process status based on support-vector regression for enterprise information systems.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 34, no. 3 (March 1, 2015): 354–66. https://doi.org/10.1109/TCAD.2014.2387831.Full Text
-
Noia, B., S. Panth, K. Chakrabarty, and S. K. Lim. “Scan test of die logic in 3-D ICs using TSV probing.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 23, no. 2 (February 1, 2015): 317–30. https://doi.org/10.1109/TVLSI.2014.2306951.Full Text
-
Agrawal, M., K. Chakrabarty, and R. Widialaksono. “Reuse-based optimization for prebond and post-bond testing of 3-D-stacked ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 34, no. 1 (January 1, 2015): 122–35. https://doi.org/10.1109/TCAD.2014.2369747.Full Text
-
Chakrabarty, K. “IEEE Transactions on Very Large Scale Integration (VLSI) Systems: Editorial.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 23, no. 1 (January 1, 2015): 1. https://doi.org/10.1109/TVLSI.2014.2385112.Full Text
-
Chakrabarty, K., and U. Kar. “State variable participation in the limit cycle of induction motor.” Pramana Journal of Physics 84, no. 3 (January 1, 2015): 473–85. https://doi.org/10.1007/s12043-015-0943-6.Full Text
-
Duan, Q., A. Koneru, J. Zeng, K. Chakrabarty, and G. Dispoto. “Accurate analysis and prediction of enterprise service-level performance.” Acm Transactions on Design Automation of Electronic Systems 20, no. 4 (January 1, 2015). https://doi.org/10.1145/2757279.Full Text
-
Duan, Q., J. Zeng, K. Chakrabarty, and G. Dispoto. “Data-driven optimization of order admission policies in a digital print factory.” Acm Transactions on Design Automation of Electronic Systems 20, no. 2 (January 1, 2015). https://doi.org/10.1145/2699836.Full Text
-
Firouzi, F., F. Ye, K. Chakrabarty, and M. B. Tahoori. “Aging-and variation-aware delay monitoring using representative critical path selection.” Acm Transactions on Design Automation of Electronic Systems 20, no. 3 (January 1, 2015). https://doi.org/10.1145/2746237.Full Text
-
Ibrahim, M., and K. Chakrabarty. “Efficient Error Recovery in Cyberphysical Digital-Microfluidic Biochips.” Ieee Transactions on Multi Scale Computing Systems 1, no. 1 (January 1, 2015): 46–58. https://doi.org/10.1109/TMSCS.2015.2478457.Full Text
-
Pop, P., I. E. Araci, and K. Chakrabarty. “Continuous-flow biochips: Technology, physical-design methods, and testing.” Ieee Design and Test 32, no. 6 (January 1, 2015): 8–19. https://doi.org/10.1109/MDAT.2015.2438152.Full Text
-
Roy, S., P. P. Chakrabarti, K. Chakrabarty, and B. B. Bhattacharya. “Waste-aware single-target dilution of a biochemical fluid using digital microfluidic biochips.” Integration, the Vlsi Journal 51 (January 1, 2015): 194–207. https://doi.org/10.1016/j.vlsi.2014.12.004.Full Text
-
Roy, S., P. P. Chakrabarti, S. Kumar, K. Chakrabarty, and B. B. Bhattacharya. “Layout-aware mixture preparation of biochemical fluids on application-specific digital microfluidic biochips.” Acm Transactions on Design Automation of Electronic Systems 20, no. 3 (January 1, 2015). https://doi.org/10.1145/2714562.Full Text
-
Wang, R., K. Chakrabarty, and S. Bhawmik. “Interconnect testing and test-path scheduling for interposer-based 2.5-D ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 34, no. 1 (January 1, 2015): 136–49. https://doi.org/10.1109/TCAD.2014.2365097.Full Text
-
Wang, R., K. Chakrabarty, and S. Bhawmik. “Built-in self-test and test scheduling for interposer-based 2.5D IC.” Acm Transactions on Design Automation of Electronic Systems 20, no. 4 (January 1, 2015). https://doi.org/10.1145/2757278.Full Text
-
Hu, K., F. Yu, T. Y. Ho, and K. Chakrabarty. “Testing of flow-based microfluidic biochips: Fault modeling, test generation, and experimental demonstration.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 10 (October 1, 2014): 1463–75. https://doi.org/10.1109/TCAD.2014.2336215.Full Text
-
Hu, K., T. Y. Ho, and K. Chakrabarty. “Wash optimization for cross-contamination removal in flow-based microfluidic biochips.” Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, March 27, 2014, 244–49. https://doi.org/10.1109/ASPDAC.2014.6742897.Full Text
-
Bhattacharjee, S., A. Banerjee, K. Chakrabarty, and B. B. Bhattacharya. “Correctness checking of bio-chemical protocol realizations on a digital microfluidic biochip.” Proceedings of the Ieee International Conference on Vlsi Design, March 3, 2014, 504–9. https://doi.org/10.1109/VLSID.2014.94.Full Text
-
Noia, B., and K. Chakrabarty. “Retiming for delay recovery after DfT insertion on interdie paths in 3-D ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 3 (March 1, 2014): 464–75. https://doi.org/10.1109/TCAD.2013.2289857.Full Text
-
Hsieh, Y. L., T. Y. Ho, and K. Chakrabarty. “Biochip synthesis and dynamic error recovery for sample preparation using digital microfluidics.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 2 (February 1, 2014): 183–96. https://doi.org/10.1109/TCAD.2013.2284010.Full Text
-
Khursheed, S., K. Shi, B. M. Al-Hashimi, P. R. Wilson, and K. Chakrabarty. “Delay test for diagnosis of power switches.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 22, no. 2 (February 1, 2014): 197–206. https://doi.org/10.1109/TVLSI.2013.2239319.Full Text
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. “Board-level functional fault diagnosis using multikernel support vector machines and incremental learning.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 2 (February 1, 2014): 279–90. https://doi.org/10.1109/TCAD.2013.2287184.Full Text
-
Agrawal, M., M. Richter, and K. Chakrabarty. “Test-delivery optimization in manycore SOCs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 7 (January 1, 2014): 1067–80. https://doi.org/10.1109/TCAD.2014.2311394.Full Text Open Access Copy
-
Agrawal, M., and K. Chakrabarty. “Test-time optimization in NOC-based manycore SOCs using multicast routing.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2014. https://doi.org/10.1109/VTS.2014.6818797.Full Text
-
Chakrabarty, K., M. Agrawal, S. Deutsch, B. Noia, R. Wang, and F. Ye. “Test and design-for-testability solutions for 3D integrated circuits.” Ipsj Transactions on System Lsi Design Methodology 7 (January 1, 2014): 56–73. https://doi.org/10.2197/ipsjtsldm.7.56.Full Text
-
Deutsch, S., and K. Chakrabarty. “Contactless pre-bond TSV test and diagnosis using ring oscillators and multiple voltage levels.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 5 (January 1, 2014): 774–85. https://doi.org/10.1109/TCAD.2014.2298198.Full Text
-
Hu, K., T. Y. Ho, and K. Chakrabarty. “Test generation and design-for-testability for flow-based mVLSI microfluidic biochips.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2014. https://doi.org/10.1109/VTS.2014.6818760.Full Text
-
Kavousianos, X., and K. Chakrabarty. “Recent advances in single- and multi-site test optimization for DVS-based SoCs.” Proceedings 2014 9th Ieee International Conference on Design and Technology of Integrated Systems in Nanoscale Era, Dtis 2014, January 1, 2014. https://doi.org/10.1109/DTIS.2014.6850675.Full Text
-
Kuo, C. Y., C. J. Shih, Y. C. Lu, J. C. M. Li, and K. Chakrabarty. “Testing of TSV-induced small delay faults for 3-d integrated circuits.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 22, no. 3 (January 1, 2014): 667–74. https://doi.org/10.1109/TVLSI.2013.2250320.Full Text
-
Lien, W. C., K. J. Lee, K. Chakrabarty, and T. Y. Hsieh. “Output selection for test response compaction based on multiple counters.” Technical Papers of 2014 International Symposium on Vlsi Design, Automation and Test, Vlsi Dat 2014, January 1, 2014. https://doi.org/10.1109/VLSI-DAT.2014.6834865.Full Text
-
Lien, W. C., K. J. Lee, K. Chakrabarty, and T. Y. Hsieh. “Output-bit selection with X-avoidance using multiple counters for test-response compaction.” Proceedings 2014 19th Ieee European Test Symposium, Ets 2014, January 1, 2014. https://doi.org/10.1109/ETS.2014.6847823.Full Text
-
Lien, W. C., K. J. Lee, T. Y. Hsieh, and K. Chakrabarty. “Efficient LFSR Reseeding Based on Internal-Response Feedback.” Journal of Electronic Testing: Theory and Applications (Jetta) 30, no. 6 (January 1, 2014): 673–85. https://doi.org/10.1007/s10836-014-5482-4.Full Text
-
Luo, Y., K. Chakrabarty, and T. Y. Ho. “Biochemistry synthesis on a cyberphysical digital microfluidics platform under completion-time uncertainties in fluidic operations.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 6 (January 1, 2014): 903–16. https://doi.org/10.1109/TCAD.2014.2303948.Full Text
-
Mitra, D., S. Roy, S. Bhattacharjee, K. Chakrabarty, and B. B. Bhattacharya. “On-chip sample preparation for multiple targets using digital microfluidics.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 8 (January 1, 2014): 1131–44. https://doi.org/10.1109/TCAD.2014.2323200.Full Text
-
Richter, M., and K. Chakrabarty. “Optimization of test pin-count, test scheduling, and test access for NoC-based multicore SoCs.” Ieee Transactions on Computers 63, no. 3 (January 1, 2014): 691–702. https://doi.org/10.1109/TC.2013.82.Full Text
-
Roy, S., B. B. Bhattacharya, S. Ghoshal, and K. Chakrabarty. “High-throughput dilution engine for sample preparation on digital microfluidic biochips.” Iet Computers and Digital Techniques 8, no. 4 (January 1, 2014): 163–71. https://doi.org/10.1049/iet-cdt.2013.0060.Full Text
-
Roy, S., B. B. Bhattacharya, S. Ghoshal, and K. Chakrabarty. “Theory and analysis of generalized mixing and dilution of biochemical fluids using digital microfluidic biochips.” Acm Journal on Emerging Technologies in Computing Systems 11, no. 1 (January 1, 2014). https://doi.org/10.1145/2629578.Full Text
-
Roy, S., S. Kumar, P. P. Chakrabarti, B. B. Bhattacharya, and K. Chakrabarty. “Demand-driven mixture preparation and droplet streaming using digital microfluidic biochips.” Proceedings Design Automation Conference, January 1, 2014. https://doi.org/10.1145/2593069.2593119.Full Text
-
Vartziotis, F., X. Kavousianos, K. Chakrabarty, R. Parekhji, and A. Jain. “Multi-site test optimization for multi-Vdd SoCs using space- and time- division multiplexing.” Proceedings Design, Automation and Test in Europe, Date, 2014.
-
Vartziotis, F., X. Kavousianos, K. Chakrabarty, R. Parekhji, and A. Jain. “Multi-site test optimization for multi-Vdd SoCs using space- and time- division multiplexing.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2014. https://doi.org/10.7873/DATE2014.141.Full Text
-
Wang, R., K. Chakrabarty, and B. Eklow. “Scan-based testing of post-bond silicon interposer interconnects in 2.5-D ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 9 (January 1, 2014): 1410–23. https://doi.org/10.1109/TCAD.2014.2331336.Full Text
-
Wang, R., K. Chakrabarty, and S. Bhawmik. “At-speed interconnect testing and test-path optimization for 2.5D ICs.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2014. https://doi.org/10.1109/VTS.2014.6818770.Full Text
-
Wang, R., Z. Zhang, X. Kavousianos, Y. Tsiatouhas, and K. Chakrabarty. “Built-in self-test, diagnosis, and repair of multimode power switches.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 33, no. 8 (January 1, 2014): 1231–44. https://doi.org/10.1109/TCAD.2014.2314303.Full Text
-
Ye, F., F. Firouzi, Y. Yang, K. Chakrabarty, and M. B. Tahoori. “On-chip voltage-droop prediction using support-vector machines.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2014. https://doi.org/10.1109/VTS.2014.6818798.Full Text
-
Ye, F., K. Chakrabarty, Z. Zhang, and X. Gu. “Information-theoretic framework for evaluating and guiding board-level functional-fault diagnosis.” Ieee Design and Test 31, no. 3 (January 1, 2014): 65–75. https://doi.org/10.1109/MDAT.2014.2313080.Full Text
-
Zhang, Z., X. Kavousianos, K. Chakrabarty, and Y. Tsiatouhas. “Static power reduction using variation-tolerant and reconfigurable multi-mode power switches.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 22, no. 1 (January 1, 2014): 13–26. https://doi.org/10.1109/TVLSI.2012.2233505.Full Text
-
Agrawal, M., and K. Chakrabarty. “A graph-theoretic approach for minimizing the number of wrapper cells for pre-bond testing of 3D-stacked ICs.” Proceedings International Test Conference, December 1, 2013. https://doi.org/10.1109/TEST.2013.6651895.Full Text
-
Chakrabarty, K., and U. Kar. “Bifurcation phenomena in induction motor.” 2013 Annual Ieee India Conference, Indicon 2013, December 1, 2013. https://doi.org/10.1109/INDCON.2013.6725891.Full Text
-
Deutsch, S., K. Chakrabarty, and E. J. Marinissen. “Uncertainty-aware robust optimization of test-access architectures for 3D stacked ICs.” Proceedings International Test Conference, December 1, 2013. https://doi.org/10.1109/TEST.2013.6651905.Full Text
-
Firouzi, F., F. Ye, K. Chakrabarty, and M. B. Tahoori. “Representative critical-path selection for aging-induced delay monitoring.” Proceedings International Test Conference, December 1, 2013. https://doi.org/10.1109/TEST.2013.6651924.Full Text
-
Luo, Y., B. B. Bhattacharya, T. Y. Ho, and K. Chakrabarty. “Optimization of polymerase chain reaction on a cyberphysical digital microfluidic biochip.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, December 1, 2013, 622–29. https://doi.org/10.1109/ICCAD.2013.6691181.Full Text
-
Luo, Y., K. Chakrabarty, and T. Y. Ho. “Real-time error recovery in cyberphysical digital-microfluidic biochips using a compact dictionary.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 32, no. 12 (December 1, 2013): 1839–52. https://doi.org/10.1109/TCAD.2013.2277980.Full Text
-
Zhang, Z., B. Noia, K. Chakrabarty, and P. Franzon. “Face-to-face bus design with built-in self-test in 3D ICs.” 2013 Ieee International 3d Systems Integration Conference, 3dic 2013, December 1, 2013. https://doi.org/10.1109/3DIC.2013.6702395.Full Text
-
Basak, Atanu, Kuheli Chakrabarty, Animesh Ghosh, and Gourab Kanti Das. “Mechanism of the gold(III)-catalyzed isomerization of substituted allenes to conjugated dienes: a DFT study.” The Journal of Organic Chemistry 78, no. 19 (October 2013): 9715–24. https://doi.org/10.1021/jo401400x.Full Text
-
Bao, F., K. Peng, M. Tehranipoor, and K. Chakrabarty. “Generation of effective 1-detect TDF patterns for detecting small-delay defects.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 32, no. 10 (September 30, 2013): 1583–94. https://doi.org/10.1109/TCAD.2013.2266374.Full Text
-
Deutsch, S., and K. Chakrabarty. “Robust optimization of test-architecture designs for core-based SoCs.” Proceedings 2013 18th Ieee European Test Symposium, Ets 2013, September 9, 2013. https://doi.org/10.1109/ETS.2013.6569348.Full Text
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. “Information-theoretic syndrome and root-cause analysis for guiding board-level fault diagnosis.” Proceedings 2013 18th Ieee European Test Symposium, Ets 2013, September 9, 2013. https://doi.org/10.1109/ETS.2013.6569364.Full Text
-
Kundu, S., U. Kar, and K. Chakrabarty. “Co-existence of multiple attractors in the PWM controlled DC drives.” European Physical Journal: Special Topics 222, no. 3–4 (September 1, 2013): 699–709. https://doi.org/10.1140/epjst/e2013-01873-4.Full Text
-
Karimi, N., and K. Chakrabarty. “Detection, diagnosis, and recovery from Clock-Domain Crossing failures in multiclock SoCs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 32, no. 9 (August 29, 2013): 1395–1408. https://doi.org/10.1109/TCAD.2013.2255127.Full Text
-
Luo, Y., and K. Chakrabarty. “Design of pin-constrained general-purpose Digital microfluidic biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 32, no. 9 (August 29, 2013): 1307–20. https://doi.org/10.1109/TCAD.2013.2260192.Full Text
-
Agrawal, M., and K. Chakrabarty. “Test-cost optimization and test-flow selection for 3D-stacked ICs.” Proceedings of the Ieee Vlsi Test Symposium, August 14, 2013. https://doi.org/10.1109/VTS.2013.6548941.Full Text Open Access Copy
-
Hu, K., T. Y. Ho, and K. Chakrabarty. “Testing of flow-based microfluidic biochips.” Proceedings of the Ieee Vlsi Test Symposium, August 14, 2013. https://doi.org/10.1109/VTS.2013.6548906.Full Text
-
Noia, B., and K. Chakrabarty. “Post-DfT-insertion retiming for delay recovery on inter-die paths in 3D ICs.” Proceedings of the Ieee Vlsi Test Symposium, August 14, 2013. https://doi.org/10.1109/VTS.2013.6548939.Full Text
-
Jiang, L., F. Ye, Q. Xu, K. Chakrabarty, and B. Eklow. “On effective and efficient in-field TSV repair for stacked 3D ICs.” Proceedings Design Automation Conference, July 12, 2013. https://doi.org/10.1145/2463209.2488824.Full Text
-
Luo, Y., K. Chakrabarty, and T. Y. Ho. “Design of cyberphysical digital microfluidic biochips under completion-time uncertainties in fluidic operations.” Proceedings Design Automation Conference, July 12, 2013. https://doi.org/10.1145/2463209.2488788.Full Text
-
Chakrabarty, K., U. Kar, and S. Kundu. “Control of chaos in current controlled DC drives.” Journal of Circuits, Systems and Computers 22, no. 5 (June 1, 2013). https://doi.org/10.1142/S0218126613500357.Full Text
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. “Board-level functional fault diagnosis using artificial neural networks, support-vector machines, and weighted-majority voting.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 32, no. 5 (May 1, 2013): 723–36. https://doi.org/10.1109/TCAD.2012.2234827.Full Text
-
Noia, B., and K. Chakrabarty. “Pre-bond probing of through-silicon vias in 3-D stacked ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 32, no. 4 (March 25, 2013): 547–58. https://doi.org/10.1109/TCAD.2012.2226455.Full Text
-
Basak, Atanu, Sampad Narayan Gupta, Kuheli Chakrabarty, and Gourab Kanti Das. “New bimolecular mechanistic pathway for 1,3-hydrogen shift in allenamide and allene system: A theoretical prediction.” Computational and Theoretical Chemistry 1007 (March 2013): 15–30. https://doi.org/10.1016/j.comptc.2012.12.005.Full Text
-
Grover, Sandeep, Surendra K. Mattoo, Krishnan Rajalakshmi Aarya, Partha Pratim Das, Kaustav Chakrabarty, Paula Trzepacz, Nitin Gupta, and David Meagher. “Replication analysis for composition of the Delirium Motor Subtype Scale (DMSS) in a referral cohort from Northern India.” Psychiatry Research 206, no. 1 (March 2013): 68–74. https://doi.org/10.1016/j.psychres.2012.08.034.Full Text
-
Sen, Supriti, Manjira Mukherjee, Kuheli Chakrabarty, Ipsit Hauli, Subhra Kanti Mukhopadhyay, and Pabitra Chattopadhyay. “Cell permeable fluorescent receptor for detection of H2PO4(-) in aqueous solvent.” Organic & Biomolecular Chemistry 11, no. 9 (March 2013): 1537–44. https://doi.org/10.1039/c2ob27201f.Full Text
-
Bao, F., K. Peng, M. Yilmaz, K. Chakrabarty, L. Winemberg, and M. Tehranipoor. “Efficient pattern generation for small-delay defects using selection of critical faults.” Journal of Electronic Testing: Theory and Applications (Jetta) 29, no. 1 (February 1, 2013): 35–48. https://doi.org/10.1007/s10836-012-5345-9.Full Text
-
Lien, W. C., K. J. Lee, T. Y. Hsieh, K. Chakrabarty, and Y. H. Wu. “Counter-based output selection for test response compaction.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 32, no. 1 (January 7, 2013): 152–64. https://doi.org/10.1109/TCAD.2012.2214479.Full Text
-
Luo, Y., K. Chakrabarty, and T. Y. Ho. “Error recovery in cyberphysical digital microfluidic biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 32, no. 1 (January 7, 2013): 59–72. https://doi.org/10.1109/TCAD.2012.2211104.Full Text Open Access Copy
-
Bhattacharjee, S., A. Banerjee, T. Y. Ho, K. Chakrabarty, and B. B. Bhattacharya. “On producing linear dilution gradient of a sample with a digital microfluidic biochip.” Proceedings 4th International Symposium on Electronic System Design, Ised 2013, January 1, 2013, 77–81. https://doi.org/10.1109/ISED.2013.22.Full Text
-
Deutsch, S., and K. Chakrabarty. “Non-invasive pre-bond TSV test using ring oscillators and multiple voltage levels.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2013, 1065–70. https://doi.org/10.7873/date.2013.225.Full Text
-
Hu, K., B. N. Hsu, A. Madison, K. Chakrabarty, and R. Fair. “Fault detection, real-time error recovery, and experimental demonstration for digital microfluidic biochips.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2013, 559–64. https://doi.org/10.7873/date.2013.124.Full Text
-
Kavousianos, X., and K. Chakrabarty. “Testing for SoCs with advanced static and dynamic power-management capabilities.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2013, 737–42. https://doi.org/10.7873/date.2013.158.Full Text
-
Kumar, S., S. Roy, P. P. Chakrabarti, B. B. Bhattacharya, and K. Chakrabarty. “Efficient mixture preparation on digital microfluidic biochips.” Proceedings of the 2013 Ieee 16th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Ddecs 2013, January 1, 2013, 205–10. https://doi.org/10.1109/DDECS.2013.6549817.Full Text
-
Lien, W. C., K. J. Lee, T. Y. Hsieh, and K. Chakrabarty. “A new LFSR reseeding scheme via internal response feedback.” Proceedings of the Asian Test Symposium, January 1, 2013, 97–102. https://doi.org/10.1109/ATS.2013.26.Full Text
-
Peng, K., M. Yilmaz, K. Chakrabarty, and M. Tehranipoor. “Crosstalk- and process variations-aware high-quality tests for small-delay defects.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 21, no. 6 (January 1, 2013): 1129–42. https://doi.org/10.1109/TVLSI.2012.2205026.Full Text
-
Roy, S., B. B. Bhattacharya, S. Ghoshal, and K. Chakrabarty. “Optimal two-mixer scheduling in dilution engine on a digital microfluidic biochip.” Proceedings 4th International Symposium on Electronic System Design, Ised 2013, January 1, 2013, 82–86. https://doi.org/10.1109/ISED.2013.23.Full Text
-
Roy, S., B. B. Bhattacharya, S. Ghoshal, and K. Chakrabarty. “On-Chip Dilution from Multiple Concentrations of a Sample Fluid Using Digital Microfluidics.” Communications in Computer and Information Science 382 CCIS (January 1, 2013): 274–83. https://doi.org/10.1007/978-3-642-42024-5_33.Full Text
-
Roy, S., P. P. Chakrabarti, S. Kumar, B. B. Bhattacharya, and K. Chakrabarty. “Routing-aware resource allocation for mixture preparation in digital microfluidic biochips.” Proceedings of Ieee Computer Society Annual Symposium on Vlsi, Isvlsi, January 1, 2013, 165–70. https://doi.org/10.1109/ISVLSI.2013.6654653.Full Text
-
Shih, C. J., S. A. Hsieh, Y. C. Lu, J. C. M. Li, T. L. Wu, and K. Chakrabarty. “Test generation of path delay faults induced by defects in power TSV.” Proceedings of the Asian Test Symposium, January 1, 2013, 43–48. https://doi.org/10.1109/ATS.2013.18.Full Text
-
Xiang, D., G. Liu, K. Chakrabarty, and H. Fujiwara. “Thermal-Aware test scheduling for NOC-based 3D integrated circuits.” Ieee/Ifip International Conference on Vlsi and System on Chip, Vlsi Soc, January 1, 2013, 96–101. https://doi.org/10.1109/VLSI-SoC.2013.6673257.Full Text
-
Xiang, D., J. Li, K. Chakrabarty, and X. Lin. “Test compaction for small-delay defects using an effective path selection scheme.” Acm Transactions on Design Automation of Electronic Systems 18, no. 3 (January 1, 2013). https://doi.org/10.1145/2491477.2491488.Full Text
-
Shih, C. J., C. Y. Hsu, C. Y. Kuo, J. Li, J. C. Rau, and K. Chakrabarty. “Thermal-aware test schedule and TAM co-optimization for three-dimensional IC.” Active and Passive Electronic Components 2012 (December 26, 2012). https://doi.org/10.1155/2012/763572.Full Text
-
Chakrabarty, K. “Towards smarter silicon and data-driven design of integrated circuits [From the EIC].” Ieee Design and Test of Computers 29, no. 5 (December 1, 2012): 4–5. https://doi.org/10.1109/MDT.2012.2223511.Full Text
-
Chakrabarty, K. “Towards more digital content in wireless systems [From the EiC].” Ieee Design and Test of Computers 29, no. 6 (December 1, 2012): 4. https://doi.org/10.1109/MDT.2012.2228601.Full Text
-
Chakrabarty, K., and U. Kar. “Control of bifurcation of PWM controlled DC drives.” Pedes 2012 Ieee International Conference on Power Electronics, Drives and Energy Systems, December 1, 2012. https://doi.org/10.1109/PEDES.2012.6484468.Full Text
-
Kundu, S., U. Kar, and K. Chakrabarty. “Co-existing attractor of PWM controlled DC drives.” Pedes 2012 Ieee International Conference on Power Electronics, Drives and Energy Systems, December 1, 2012. https://doi.org/10.1109/PEDES.2012.6484307.Full Text
-
Roy, S., B. B. Bhattacharya, S. Ghoshal, and K. Chakrabarty. “Low-cost dilution engine for sample preparation in digital microfluidic biochips.” Proceedings 2012 International Symposium on Electronic System Design, Ised 2012, December 1, 2012, 203–7. https://doi.org/10.1109/ISED.2012.70.Full Text
-
Chakrabarty, K. “Looking ahead at the role of electronic design automation in synthetic biology.” Ieee Design and Test of Computers 29, no. 3 (October 29, 2012): 4. https://doi.org/10.1109/MDT.2012.2199612.Full Text
-
Chakrabarty, K. “Electronic design methods and technologies for green buildings.” Ieee Design and Test of Computers 29, no. 4 (October 29, 2012): 4. https://doi.org/10.1109/MDT.2012.2209542.Full Text
-
Hsieh, Y. L., T. Y. Ho, and K. Chakrabarty. “A reagent-saving mixing algorithm for preparing multiple-target biochemical samples using digital microfluidics.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 31, no. 11 (October 29, 2012): 1656–69. https://doi.org/10.1109/TCAD.2012.2202396.Full Text
-
Kavousianos, X., K. Chakrabarty, A. Jain, and R. Parekhji. “Test schedule optimization for multicore SoCs: Handling dynamic voltage scaling and multiple voltage islands.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 31, no. 11 (October 29, 2012): 1754–66. https://doi.org/10.1109/TCAD.2012.2203600.Full Text
-
Mitra, D., S. Roy, K. Chakrabarty, and B. B. Bhattacharya. “On-chip sample preparation with multiple dilutions using digital microfluidics.” Proceedings 2012 Ieee Computer Society Annual Symposium on Vlsi, Isvlsi 2012, October 29, 2012, 314–19. https://doi.org/10.1109/ISVLSI.2012.52.Full Text
-
Chakrabarty, K., S. Deutsch, H. Thapliyal, and F. Ye. “TSV defects and TSV-induced circuit failures: The third dimension in test and design-for-test.” Ieee International Reliability Physics Symposium Proceedings, September 28, 2012. https://doi.org/10.1109/IRPS.2012.6241859.Full Text
-
Fang, H., K. Chakrabarty, Z. Wang, and X. Gu. “Diagnosis of board-level functional failures under uncertainty using Dempster-Shafer theory.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 31, no. 10 (September 28, 2012): 1586–99. https://doi.org/10.1109/TCAD.2012.2198884.Full Text
-
Lien, W. C., K. J. Lee, T. Y. Hsieh, S. S. Chien, and K. Chakrabarty. “Accumulator-based output selection for test response compaction.” Iscas 2012 2012 Ieee International Symposium on Circuits and Systems, September 28, 2012, 2313–16. https://doi.org/10.1109/ISCAS.2012.6271757.Full Text
-
Zamani, M., M. B. Tahoori, and K. Chakrabarty. “Ping-pong test: Compact test vector generation for reversible circuits.” Proceedings of the Ieee Vlsi Test Symposium, August 20, 2012, 164–69. https://doi.org/10.1109/VTS.2012.6231097.Full Text
-
Gu, X., J. Rearick, B. Eklow, M. Keim, J. Qian, A. Jutman, K. Chakrabarty, and E. Larsson. “Re-using chip level DFT at board level.” Proceedings 2012 17th Ieee European Test Symposium, Ets 2012, August 13, 2012. https://doi.org/10.1109/ETS.2012.6233049.Full Text
-
Kavousianos, X., K. Chakrabarty, A. Jain, and R. Parekhji. “Time-division multiplexing for testing SoCs with DVS and multiple voltage islands.” Proceedings 2012 17th Ieee European Test Symposium, Ets 2012, August 13, 2012. https://doi.org/10.1109/ETS.2012.6233019.Full Text Open Access Copy
-
Zhang, Z., X. Gu, Y. Xie, Z. Wang, and K. Chakrabarty. “Diagnostic system based on support-vector machines for board-level functional diagnosis.” Proceedings 2012 17th Ieee European Test Symposium, Ets 2012, August 13, 2012. https://doi.org/10.1109/ETS.2012.6233029.Full Text
-
Chakrabarty, Koushik, Lars Von Oerthel, Anita Hellemons, Frédéric Clotman, Agnès Espana, Marian Groot Koerkamp, Frank C. P. Holstege, R Jeroen Pasterkamp, and Marten P. Smidt. “Genome wide expression profiling of the mesodiencephalic region identifies novel factors involved in early and late dopaminergic development.” Biology Open 1, no. 8 (August 2012): 693–704. https://doi.org/10.1242/bio.20121230.Full Text
-
Roy, S., D. Mitra, B. B. Bhattacharya, and K. Chakrabarty. “Congestion-aware layout design for high-throughput digital microfluidic biochips.” Acm Journal on Emerging Technologies in Computing Systems 8, no. 3 (August 1, 2012). https://doi.org/10.1145/2287696.2287700.Full Text
-
Chakrabarty, K. “Standards, interoperability, and innovation in a disaggregated IC industry.” Ieee Design and Test of Computers 29, no. 2 (July 30, 2012): 4. https://doi.org/10.1109/MDT.2012.2190347.Full Text
-
Hsu, C. Y., C. Y. Kuo, J. C. M. Li, and K. Chakrabarty. “3D IC test scheduling using simulated annealing.” 2012 International Symposium on Vlsi Design, Automation and Test, Vlsi Dat 2012 Proceedings of Technical Papers, July 25, 2012. https://doi.org/10.1109/VLSI-DAT.2012.6212659.Full Text
-
Luo, Y., and K. Chakrabarty. “Design of pin-constrained general-purpose digital microfluidic biochips.” Proceedings Design Automation Conference, July 11, 2012, 18–25. https://doi.org/10.1145/2228360.2228366.Full Text
-
Ye, F., and K. Chakrabarty. “TSV open defects in 3D integrated circuits: Characterization, test, and optimal spare allocation.” Proceedings Design Automation Conference, July 11, 2012, 1024–30. https://doi.org/10.1145/2228360.2228545.Full Text
-
Chakrabarty, Kuheli, Sampad Narayan Gupta, Gourab Kanti Das, and Sukhendu Roy. “Theoretical studies on the pyridoxal-5'-phosphate dependent enzyme dopa decarboxylase: effect of thr 246 residue on the co-factor-enzyme binding and reaction mechanism.” Indian Journal of Biochemistry & Biophysics 49, no. 3 (June 2012): 155–64.
-
Zhao, Y., and K. Chakrabarty. “Cross-contamination avoidance for droplet routing in digital microfluidic biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 31, no. 6 (May 29, 2012): 817–30. https://doi.org/10.1109/TCAD.2012.2183369.Full Text
-
Luo, Y., K. Chakrabarty, and T. Y. Ho. “A cyberphysical synthesis approach for error recovery in digital microfluidic biochips.” Proceedings Design, Automation and Test in Europe, Date, May 24, 2012, 1239–44.
-
Fang, H., K. Chakrabarty, Z. Wang, and X. Gu. “Reproduction and detection of board-level functional failure.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 31, no. 4 (April 1, 2012): 630–43. https://doi.org/10.1109/TCAD.2011.2175391.Full Text
-
Zhang, Z., Z. Wang, X. Gu, and K. Chakrabarty. “Physical-defect modeling and optimization for fault-insertion test.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 20, no. 4 (April 1, 2012): 723–36. https://doi.org/10.1109/TVLSI.2011.2114681.Full Text
-
Zhao, Y., K. Chakrabarty, and B. B. Bhattacharya. “Testing of low-cost digital microfluidic biochips with non-regular array layouts.” Journal of Electronic Testing: Theory and Applications (Jetta) 28, no. 2 (April 1, 2012): 243–55. https://doi.org/10.1007/s10836-011-5266-z.Full Text
-
Zhao, Y., and K. Chakrabarty. “Simultaneous optimization of droplet routing and control-pin mapping to electrodes in digital microfluidic biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 31, no. 2 (February 1, 2012): 242–54. https://doi.org/10.1109/TCAD.2011.2177836.Full Text
-
Chakrabarty, K. “The quest for high-yield IC manufacturing.” Ieee Design and Test of Computers 29, no. 1 (January 1, 2012): 4. https://doi.org/10.1109/MDT.2011.2180957.Full Text
-
Chakrabarty, Kakoli, Mallika Banerjee, and Mitul Sengupta. “Dance/movement therapy on autism.” International Journal of Psychology 47 (2012): 290–290.Link to Item
-
Chakrabarty, Kakoli, and Anindita Chatterjee. “Impact of remedial intervention on mental health issues associated with learning disabled students.” International Journal of Psychology 47 (2012): 295–295.Link to Item
-
Chakrabarty, Krishnendu. “The Quest for High-Yield IC Manufacturing.” Ieee Design & Test of Computers 29, no. 1 (2012): 4–4. https://doi.org/10.1109/MDT2011.2180957.Full Text Link to Item
-
Fang, H., K. Chakrabarty, A. Jas, S. Patil, and C. Tirumurti. “Functional test-sequence grading at register-transfer level.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 20, no. 10 (January 1, 2012): 1890–94. https://doi.org/10.1109/TVLSI.2011.2163651.Full Text Open Access Copy
-
Jiang, L., Q. Xu, K. Chakrabarty, and T. M. Mak. “Integrated test-architecture optimization and thermal-aware test scheduling for 3-D SoCs under pre-bond test-pin-count constraint.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 20, no. 9 (January 1, 2012): 1621–33. https://doi.org/10.1109/TVLSI.2011.2160410.Full Text
-
Karimi, N., K. Chakrabarty, P. Gupta, and S. Patil. “Test generation for clock-domain crossing faults in integrated circuits.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2012, 406–11. https://doi.org/10.1109/date.2012.6176505.Full Text
-
Noia, B., K. Chakrabarty, and E. J. Marinissen. “Optimization methods for post-bond testing of 3D stacked ICs.” Journal of Electronic Testing: Theory and Applications (Jetta) 28, no. 1 (January 1, 2012): 103–20. https://doi.org/10.1007/s10836-011-5233-8.Full Text
-
Richter, M., and K. Chakrabarty. “Test pin count reduction for NoC-based test delivery in multicore SOCs.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2012, 787–92. https://doi.org/10.1109/date.2012.6176601.Full Text
-
Zhao, Y., K. Chakrabarty, R. Sturmer, and V. K. Pamula. “Optimization techniques for the synchronization of concurrent fluidic operations in pin-constrained digital microfluidic biochips.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 20, no. 6 (January 1, 2012): 1132–45. https://doi.org/10.1109/TVLSI.2011.2145397.Full Text
-
Bao, F., K. Peng, K. Chakrabarty, and M. Tehranipoor. “On generation of 1-detect TDF pattern set with significantly increased SDD coverage.” Proceedings of the Asian Test Symposium, December 1, 2011, 120–25. https://doi.org/10.1109/ATS.2011.10.Full Text
-
Chakrabarty, K., G. Dispoto, R. Bellamy, and J. Zeng. “The role of EDA in digital print automation and infrastructure optimization.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, December 1, 2011, 158–61. https://doi.org/10.1109/ICCAD.2011.6105320.Full Text
-
Chakrabarty, K., U. Kar, and S. Kundu. “Bifurcation behavior & co-existing attractor of PWM controlled DC drives.” Proceedings 2011 Annual Ieee India Conference: Engineering Sustainable Solutions, Indicon 2011, December 1, 2011. https://doi.org/10.1109/INDCON.2011.6139557.Full Text
-
Chakrabarty, K., and Y. Zhao. “Digital Microfluidic Biochips: A Vision for Functional Diversity and More than Moore.” Lecture Notes in Electrical Engineering 105 LNEE (December 1, 2011): 263–85. https://doi.org/10.1007/978-94-007-1488-5_16.Full Text
-
Hsieh, Y. L., T. Y. Ho, and K. Chakrabarty. “On-chip biochemical sample preparation using digital microfluidics.” 2011 Ieee Biomedical Circuits and Systems Conference, Biocas 2011, December 1, 2011, 297–300. https://doi.org/10.1109/BioCAS.2011.6107786.Full Text
-
Huang, T. W., T. Y. Ho, and K. Chakrabarty. “Reliability-oriented broadcast electrode-addressing for pin-constrained digital microfluidic biochips.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, December 1, 2011, 448–55. https://doi.org/10.1109/ICCAD.2011.6105367.Full Text
-
Karimi, N., Z. Kong, K. Chakrabarty, P. Gupta, and S. Patil. “Testing of clock-domain crossing faults in multi-core system-on-chip.” Proceedings of the Asian Test Symposium, December 1, 2011, 7–14. https://doi.org/10.1109/ATS.2011.68.Full Text
-
Kavousianos, X., K. Chakrabarty, A. Jain, and R. Parekhji. “Test scheduling for multicore SoCs with dynamic voltage scaling and multiple voltage islands.” Proceedings of the Asian Test Symposium, December 1, 2011, 33–39. https://doi.org/10.1109/ATS.2011.53.Full Text
-
Kavousianos, X., V. Tenentes, K. Chakrabarty, and E. Kalligeros. “Defect-oriented LFSR reseeding to target unmodeled defects using stuck-at test sets.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 19, no. 12 (December 1, 2011): 2330–35. https://doi.org/10.1109/TVLSI.2010.2079961.Full Text
-
Noia, B., and K. Chakrabarty. “Pre-bond testing of die logic and TSVs in high performance 3D-SICs.” 2011 Ieee International 3d Systems Integration Conference, 3dic 2011, December 1, 2011. https://doi.org/10.1109/3DIC.2012.6263042.Full Text
-
Noia, B., and K. Chakrabarty. “Pre-bond probing of TSVs in 3D stacked ICs.” Proceedings International Test Conference, December 1, 2011. https://doi.org/10.1109/TEST.2011.6139179.Full Text
-
Noia, B., and K. Chakrabarty. “Identification of defective TSVs in pre-bond testing of 3D ICs.” Proceedings of the Asian Test Symposium, December 1, 2011, 187–94. https://doi.org/10.1109/ATS.2011.57.Full Text
-
Noia, B., and K. Chakrabarty. “Testing and design-for-testability techniques for 3D integrated circuits.” Proceedings of the Asian Test Symposium, December 1, 2011, 474–79. https://doi.org/10.1109/ATS.2011.67.Full Text
-
Syed, U. S., K. Chakrabarty, A. Chandra, and R. Kapur. “3D-scalable adaptive scan (3D-SAS).” 2011 Ieee International 3d Systems Integration Conference, 3dic 2011, December 1, 2011. https://doi.org/10.1109/3DIC.2012.6263043.Full Text
-
Zhang, Z., K. Chakrabarty, Z. Wang, and X. Gu. “Smart diagnosis: Efficient board-level diagnosis and repair using artificial neural networks.” Proceedings International Test Conference, December 1, 2011. https://doi.org/10.1109/TEST.2011.6139139.Full Text
-
Zhong, S., S. Khursheed, B. M. Al-Hashimi, S. M. Reddy, and K. Chakrabarty. “Analysis of resistive bridge defect delay behavior in the presence of process variation.” Proceedings of the Asian Test Symposium, December 1, 2011, 389–94. https://doi.org/10.1109/ATS.2011.16.Full Text
-
Ho, T. Y., K. Chakrabarty, and P. Pop. “Digital microfluidic biochips: Recent research and emerging challenges.” Embedded Systems Week 2011, Esweek 2011 Proceedings of the 9th Ieee/Acm/Ifip International Conference on Hardware/Software Codesign and System Synthesis, Codes+Isss’11, November 22, 2011, 335–43. https://doi.org/10.1145/2039370.2039422.Full Text
-
Agrawal, M., Q. Duan, K. Chakrabarty, J. Zeng, I. J. Lin, G. Dispoto, and Y. S. Lee. “Digital print workflow optimization under due-dates, opportunity cost and resource constraints.” Ieee International Conference on Industrial Informatics (Indin), November 1, 2011, 86–92. https://doi.org/10.1109/INDIN.2011.6034842.Full Text
-
Chakrabarty, K. “The promise of flexible electronics.” Ieee Design and Test of Computers 28, no. 6 (November 1, 2011): 4. https://doi.org/10.1109/MDT.2011.133.Full Text
-
Chen, Z., K. Chakrabarty, and D. Xiang. “MVP: Minimum-violations partitioning for reducing capture power in at-speed delay-fault testing.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 30, no. 11 (November 1, 2011): 1762–67. https://doi.org/10.1109/TCAD.2011.2162237.Full Text
-
Noia, B., K. Chakrabarty, S. K. Goel, E. J. Marinissen, and J. Verbree. “Test-architecture optimization and test scheduling for TSV-based 3-D stacked ICs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 30, no. 11 (November 1, 2011): 1705–18. https://doi.org/10.1109/TCAD.2011.2160177.Full Text
-
Roy, Sukhendu, Kuheli Chakrabarty, Sampad Narayan Gupta, and Gourab Kanti Das. “Changeover from (3,4) ene cyclization to (3,5) mode under the influence of Lewis acid catalyst: A quantum mechanical study.” Indian Journal of Chemistry Section B Organic Chemistry Including Medicinal Chemistry 50, no. 11 (November 2011): 1637–44.Link to Item
-
Mitra, D., S. Ghoshal, H. Rahaman, K. Chakrabarty, and B. B. Bhattacharya. “Test planning in digital microfluidic biochips using efficient eulerization techniques.” Journal of Electronic Testing: Theory and Applications (Jetta) 27, no. 5 (October 1, 2011): 657–71. https://doi.org/10.1007/s10836-011-5239-2.Full Text
-
Zhang, Z., X. Kavousianos, Y. Tsiatouhas, and K. Chakrabarty. “A BIST scheme for testing and repair of multi-mode power switches.” Proceedings of the 2011 Ieee 17th International on Line Testing Symposium, Iolts 2011, September 19, 2011, 115–20. https://doi.org/10.1109/IOLTS.2011.5993821.Full Text
-
Chakrabarty, K. “Asynchronous design: Distant dream or reality?” Ieee Design and Test of Computers 28, no. 5 (September 1, 2011): 2. https://doi.org/10.1109/MDT.2011.96.Full Text
-
Bao, F., K. Peng, M. Yilmaz, K. Chakrabarty, L. R. Winemberg, and M. Tehranipoo. “Critical fault-based pattern generation for screening SDDs.” Proceedings 16th Ieee European Test Symposium, Ets 2011, August 29, 2011, 177–82. https://doi.org/10.1109/ETS.2011.26.Full Text
-
Fang, H., Z. Wang, X. Gu, and K. Chakrabarty. “Ranking of suspect faulty blocks using dataflow analysis and dempster-shafer theory for the diagnosis of board-level functional failures.” Proceedings 16th Ieee European Test Symposium, Ets 2011, August 29, 2011, 195–200. https://doi.org/10.1109/ETS.2011.23.Full Text
-
Zhang, Z., X. Kavousianos, Y. Luo, Y. Tsiatouhas, and K. Chakrabarty. “Signature analysis for testing, diagnosis, and repair of multi-mode power switches.” Proceedings 16th Ieee European Test Symposium, Ets 2011, August 29, 2011, 13–18. https://doi.org/10.1109/ETS.2011.18.Full Text
-
Chakrabarty, K. “Toward FPGA-enabled scientific computing.” Ieee Design and Test of Computers 28, no. 4 (July 1, 2011): 4. https://doi.org/10.1109/MDT.2011.91.Full Text
-
Zhao, Y., T. Xu, and K. Chakrabarty. “Broadcast electrode-addressing and scheduling methods for pin-constrained digital microfluidic biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 30, no. 7 (July 1, 2011): 986–99. https://doi.org/10.1109/TCAD.2011.2116250.Full Text
-
Mitra, D., S. Ghoshal, H. Rahaman, K. Chakrabarty, and B. B. Bhattacharya. “On residue removal in digital microfluidic biochips.” Proceedings of the Acm Great Lakes Symposium on Vlsi, Glsvlsi, June 3, 2011, 391–94. https://doi.org/10.1145/1973009.1973091.Full Text
-
Stratigopoulos, H. G., and K. Chakrabarty. “Journal of Electronic Testing: Theory and Applications (JETTA): Guest editorial.” Journal of Electronic Testing: Theory and Applications (Jetta) 27, no. 3 (June 1, 2011): 223. https://doi.org/10.1007/s10836-011-5229-4.Full Text
-
Roy, S., B. B. Bhattacharya, and K. Chakrabarty. “Waste-aware dilution and mixing of biochemical samples with digital microfluidic biochips.” Proceedings Design, Automation and Test in Europe, Date, May 31, 2011, 1059–64.
-
Chakrabarty, K. “Toward bug-free multicore soc architectures: System validation with transaction-level models.” Ieee Design and Test of Computers 28, no. 3 (May 1, 2011): 4. https://doi.org/10.1109/MDT.2011.68.Full Text
-
Kavousianos, X., and K. Chakrabarty. “Generation of compact stuck-at test sets targeting unmodeled defects.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 30, no. 5 (May 1, 2011): 787–91. https://doi.org/10.1109/TCAD.2010.2101750.Full Text Open Access Copy
-
Noia, B., and K. Chakrabarty. “Test-wrapper optimisation for embedded cores in through-silicon via-based three-dimensional system on chips.” Iet Computers and Digital Techniques 5, no. 3 (May 1, 2011): 186–97. https://doi.org/10.1049/iet-cdt.2009.0111.Full Text
-
Zhao, Y., and K. Chakrabarty. “Co-optimization of droplet routing and pin assignment in disposable digital microfluidic biochips.” Proceedings of the International Symposium on Physical Design, April 27, 2011, 69–76. https://doi.org/10.1145/1960397.1960413.Full Text
-
Fang, H., Z. Wang, X. Gu, and K. Chakrabarty. “Deterministic test for the reproduction and detection of board-level functional failures.” Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, March 28, 2011, 491–96. https://doi.org/10.1109/ASPDAC.2011.5722239.Full Text
-
Roy, S., B. B. Bhattacharya, P. P. Chakrabarti, and K. Chakrabarty. “Layout-aware solution preparation for biochemical analysis on a digital microfluidic biochip.” Proceedings of the Ieee International Conference on Vlsi Design, March 25, 2011, 171–76. https://doi.org/10.1109/VLSID.2011.55.Full Text
-
Zhang, Z., X. Kavousianos, K. Chakrabarty, and Y. Tsiatouhas. “A robust and reconfigurable multi-mode power gating architecture.” Proceedings of the Ieee International Conference on Vlsi Design, March 25, 2011, 280–85. https://doi.org/10.1109/VLSID.2011.29.Full Text
-
Chakrabarty, K. “Targeting design, verification, and test challenges.” Ieee Design and Test of Computers 28, no. 2 (March 1, 2011): 4–5. https://doi.org/10.1109/MDT.2011.39.Full Text
-
Yilmaz, M., M. Tehranipoor, and K. Chakrabarty. “A metric to target small-delay defects in industrial circuits.” Ieee Design and Test of Computers 28, no. 2 (March 1, 2011): 52–61. https://doi.org/10.1109/MDT.2011.26.Full Text
-
Zhou, T., R. R. Choudhury, P. Ning, and K. Chakrabarty. “P2DAP - Sybil attacks detection in vehicular ad hoc networks.” Ieee Journal on Selected Areas in Communications 29, no. 3 (March 1, 2011): 582–94. https://doi.org/10.1109/JSAC.2011.110308.Full Text
-
Zhao, Y., and K. Chakrabarty. “Fault diagnosis in lab-on-chip using digital microfluidic logic gates.” Journal of Electronic Testing: Theory and Applications (Jetta) 27, no. 1 (February 1, 2011): 69–83. https://doi.org/10.1007/s10836-010-5190-7.Full Text
-
Agrawal, M., K. Chakrabarty, J. Zeng, I. J. Lin, and G. Dispoto. “Simultaneous task scheduling and resource binding for digital print automation.” 61st Annual Iie Conference and Expo Proceedings, January 1, 2011.
-
Chakrabarty, K. “Embedded memory technologies: Present and future.” Ieee Design and Test of Computers 28, no. 1 (January 1, 2011): 4. https://doi.org/10.1109/MDT.2011.15.Full Text
-
Karapetyan, Gnuni, Kuheli Chakrabarty, Martin Hein, and Peter Langer. “Synthesis and bioactivity of carbohydrate derivatives of indigo, its isomers and heteroanalogues.” Chemmedchem 6, no. 1 (January 2011): 25–37. https://doi.org/10.1002/cmdc.201000374.Full Text
-
Li, S., A. Tiwari, M. Prabaharan, and S. Aryal. “Preface.” Smart Polymer Materials for Biomedical Applications, January 1, 2011.
-
Chen, Y., D. Niu, Y. Xie, and K. Chakrabarty. “Cost-effective integration of three-dimensional (3D) ICs emphasizing testing cost analysis.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, December 1, 2010, 471–75. https://doi.org/10.1109/ICCAD.2010.5653753.Full Text
-
Chen, Z., K. Chakrabarty, and D. Xiang. “MVP: Capture-power reduction with minimum-violations partitioning for delay testing.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, December 1, 2010, 149–54. https://doi.org/10.1109/ICCAD.2010.5654124.Full Text
-
Fang, H., Z. Wang, X. Gu, and K. Chakrabarty. “Mimicking of functional state space with structural tests for the diagnosis of board-level functional failures.” Proceedings of the Asian Test Symposium, December 1, 2010, 421–28. https://doi.org/10.1109/ATS.2010.78.Full Text
-
Goel, S. K., K. Chakrabarty, M. Yilmaz, K. Peng, and M. Tehranipoor. “Circuit topology-based test pattern generation for small-delay defects.” Proceedings of the Asian Test Symposium, December 1, 2010, 307–12. https://doi.org/10.1109/ATS.2010.59.Full Text
-
Goel, S. K., and K. Chakrabarty. “Power-Aware test data compression and BIST,” December 1, 2010, 147–73. https://doi.org/10.1007/978-1-4419-0928-2_5.Full Text
-
Ho, T. Y., J. Zengt, and K. Chakrabarty. “Digital microfluidic biochips: A vision for functional diversity and more than moore.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, December 1, 2010, 578–85. https://doi.org/10.1109/ICCAD.2010.5654199.Full Text
-
Kavousianos, X., K. Chakrabarty, E. Kalligeros, and V. Tenentes. “Defect coverage-driven window-based test compression.” Proceedings of the Asian Test Symposium, December 1, 2010, 141–46. https://doi.org/10.1109/ATS.2010.33.Full Text
-
Mitra, D., S. Ghoshal, H. Rahaman, K. Chakrabarty, and B. B. Bhattacharya. “Testing of digital microfluidic biochips using improved eulerization techniques and the Chinese postman problem.” Proceedings of the Asian Test Symposium, December 1, 2010, 111–16. https://doi.org/10.1109/ATS.2010.28.Full Text
-
Noia, B., K. Chakrabarty, and E. J. Marinissen. “Optimization methods for post-bond die-internal/external testing in 3D stacked ICs.” Proceedings International Test Conference, December 1, 2010. https://doi.org/10.1109/TEST.2010.5699219.Full Text
-
Peng, K., M. Yilmaz, K. Chakrabarty, and M. Tehranipoor. “A noise-aware hybrid method for SDD pattern grading and selection.” Proceedings of the Asian Test Symposium, December 1, 2010, 331–36. https://doi.org/10.1109/ATS.2010.63.Full Text
-
Roy, S., D. Mitra, B. B. Bhattacharya, and K. Chakrabarty. “Pin-constrained designs of digital microfluidic biochips for high-throughput bioassays.” Proceedings 2010 International Symposium on Electronic System Design, Ised 2010, December 1, 2010, 4–9. https://doi.org/10.1109/ISED.2010.10.Full Text
-
Sabbineni, H., and K. Chakrabarty. “An energy-efficient data delivery scheme for delay-sensitive traffic in wireless sensor networks.” International Journal of Distributed Sensor Networks 2010 (December 1, 2010). https://doi.org/10.1155/2010/792068.Full Text Open Access Copy
-
Sabbineni, H., and K. Chakrabarty. “Datacollection in event-driven wireless sensor networks with mobile sinks.” International Journal of Distributed Sensor Networks 2010 (December 1, 2010). https://doi.org/10.1155/2010/402680.Full Text Open Access Copy
-
Sanyal, A., K. Chakrabarty, M. Yilmaz, and H. Fujiwara. “RT-level design-for-testability and expansion of functional test sequences for enhanced defect coverage.” Proceedings International Test Conference, December 1, 2010. https://doi.org/10.1109/TEST.2010.5699266.Full Text
-
Zhang, Z., Z. Wang, X. Gu, and K. Chakrabarty. “Board-level fault diagnosis using an error-flow dictionary.” Proceedings International Test Conference, December 1, 2010. https://doi.org/10.1109/TEST.2010.5699251.Full Text
-
Zhang, Z., Z. Wang, X. Gu, and K. Chakrabarty. “Optimization and selection of diagnosis-oriented fault-insertion points for system test.” Proceedings of the Asian Test Symposium, December 1, 2010, 429–32. https://doi.org/10.1109/ATS.2010.79.Full Text
-
Zhao, Y., and K. Chakrabarty. “Testing of low-cost digital microfluidic biochips with non-regular array layouts.” Proceedings of the Asian Test Symposium, December 1, 2010, 27–32. https://doi.org/10.1109/ATS.2010.14.Full Text
-
Noia, B., S. K. Goel, K. Chakrabarty, E. J. Marinissen, and J. Verbree. “Test-architecture optimization for TSV-based 3D stacked ICs.” 2010 15th Ieee European Test Symposium, Ets’10, November 3, 2010, 24–29. https://doi.org/10.1109/ETSYM.2010.5512787.Full Text
-
Chakrabarty, K. “Increasing yield and reliability through postsilicon tuning.” Ieee Design and Test of Computers 27, no. 6 (November 1, 2010): 2. https://doi.org/10.1109/MDT.2010.135.Full Text
-
Roy, S., B. B. Bhattacharya, and K. Chakrabarty. “Optimization of dilution and mixing of biochemical samples using digital microfluidic biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 29, no. 11 (November 1, 2010): 1696–1708. https://doi.org/10.1109/TCAD.2010.2061790.Full Text
-
Chakrabarty, K. “Digital microfluidic biochips: A vision for functional diversity and more than moore.” Proceedings Ieee Annual Symposium on Vlsi, Isvlsi 2010, October 20, 2010, 3–4. https://doi.org/10.1109/ISVLSI.2010.92.Full Text
-
Wu, X., Y. Chen, K. Chakrabarty, and K. Yuan Xie. “Test-access mechanism optimization for core-based three-dimensional SOCs.” Microelectronics Journal 41, no. 10 (October 1, 2010): 601–15. https://doi.org/10.1016/j.mejo.2010.06.015.Full Text
-
Chakrabarty, K., and Y. Zhao. “Toward fault-tolerant and reconfigurable digital microfluidic biochips.” Proceedings of the 2nd Asia Symposium on Quality Electronic Design, Asqed 2010, September 17, 2010, 198–207. https://doi.org/10.1109/ASQED.2010.5548243.Full Text
-
Zhao, Y., and K. Chakrabarty. “Synchronization of washing operations with droplet routing for cross-contamination avoidance in digital microfluidic biochips.” Proceedings Design Automation Conference, September 7, 2010, 635–40. https://doi.org/10.1145/1837274.1837437.Full Text
-
Chakrabarty, K. “Next-generation design and test innovations.” Ieee Design and Test of Computers 27, no. 5 (September 1, 2010): 4. https://doi.org/10.1109/MDT.2010.110.Full Text
-
Chakrabarty, Kabita, Prabirkumar Saha, and Aloke Kumar Ghoshal. “Separation of lignosulfonate from its aqueous solution using emulsion liquid membrane.” Journal of Membrane Science 360, no. 1–2 (September 2010): 34–39. https://doi.org/10.1016/j.memsci.2010.04.043.Full Text
-
Khursheed, S., B. M. Al-Hashimi, K. Chakrabarty, and P. Harrod. “Gate-sizing-based single Vdd test for bridge defects in multivoltage designs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 29, no. 9 (September 1, 2010): 1409–21. https://doi.org/10.1109/TCAD.2010.2059310.Full Text
-
Yang Zhao, J., and Krishnendu Chakrabarty. “Digital Microfluidic Logic Gates and Their Application to Built-in Self-Test of Lab-on-Chip.” Ieee Transactions on Biomedical Circuits and Systems 4, no. 4 (August 2010): 250–62. https://doi.org/10.1109/tbcas.2010.2048567.Full Text
-
Zhao, Y., T. Xu, and K. Chakrabarty. “Integrated control-path design and error recovery in the synthesis of digital microfluidic lab-on-chip.” Acm Journal on Emerging Technologies in Computing Systems 6, no. 3 (August 1, 2010). https://doi.org/10.1145/1777401.1777404.Full Text
-
Chakrabarty, K. “Overcoming interconnect bottlenecks in gigascale ICs.” Ieee Design and Test of Computers 27, no. 4 (July 1, 2010): 4. https://doi.org/10.1109/MDT.2010.90.Full Text
-
Chakrabarty, K., R. B. Fair, and J. Zeng. “Design tools for digital microfluidic biochips: Toward functional diversification and more than Moore.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 29, no. 7 (July 1, 2010): 1001–17. https://doi.org/10.1109/TCAD.2010.2049153.Full Text
-
Chakrabarty, Kuheli, Sampad Narayan Gupta, Sukhendu Roy, and Gourab Kanti Das. “Effect of the remote polar substituents on the pi-facial selectivity of carbonyl ene reaction: A computational study.” Journal of Molecular Structure: Theochem 951, no. 1–3 (July 2010): 1–6. https://doi.org/10.1016/j.theochem.2010.03.028.Full Text
-
Peng, K., J. Thibodeau, M. Yilmaz, K. Chakrabarty, and M. Tehranipoor. “A novel hybrid method for SDD pattern grading and selection.” Proceedings of the Ieee Vlsi Test Symposium, June 30, 2010, 45–50. https://doi.org/10.1109/VTS.2010.5469619.Full Text
-
Zhang, Z., Z. Wang, X. Gu, and K. Chakrabarty. “Board-level fault diagnosis using bayesian inference.” Proceedings of the Ieee Vlsi Test Symposium, June 29, 2010, 244–49. https://doi.org/10.1109/VTS.2010.5469569.Full Text
-
Zhao, Y., and K. Chakrabarty. “Pin-count-aware online testing of digital microfluidic biochips.” Proceedings of the Ieee Vlsi Test Symposium, June 29, 2010, 111–16. https://doi.org/10.1109/VTS.2010.5469602.Full Text
-
Peng, K., M. Yilmaz, M. Tehranipoor, and K. Chakrabarty. “High-quality pattern selection for screening small-delay defects considering process variations and crosstalk.” Proceedings Design, Automation and Test in Europe, Date, June 9, 2010, 1426–31.
-
Chakrabarty, K. “From the EIC: Enabling design and manufacturing through innovations in DFT.” Ieee Design and Test of Computers 27, no. 3 (May 1, 2010): 2. https://doi.org/10.1109/MDT.2010.74.Full Text
-
Yilmaz, M., K. Chakrabarty, and M. Tehranipoor. “Test-pattern selection for screening small-delay defects in very-deep submicrometer integrated circuits.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 29, no. 5 (May 1, 2010): 760–73. https://doi.org/10.1109/TCAD.2010.2043591.Full Text Open Access Copy
-
Chakrabarty, Kuheli, Cristina Forzato, Patrizia Nitti, Giuliana Pitacco, and Ennio Valentin. “The First Kinetic Enzymatic Resolution of Methyl Ester of C75.” Letters in Organic Chemistry 7, no. 3 (April 1, 2010): 245–48. https://doi.org/10.2174/157017810791112405.Full Text
-
Xu, T., K. Chakrabarty, and V. K. Pamula. “Defect-tolerant design and optimization of a digital microfluidic biochip for protein crystallization.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 29, no. 4 (April 1, 2010): 552–65. https://doi.org/10.1109/TCAD.2010.2042888.Full Text
-
Chakrabarty, K. “Digital microfluidic biochips: A vision for functional diversity and more than Moore.” Proceedings of the Ieee International Conference on Vlsi Design, March 31, 2010, 452–57. https://doi.org/10.1109/VLSI.Design.2010.33.Full Text
-
Zhao, Y., R. Sturmer, K. Chakrabarty, and V. K. Pamula. “Synchronization of Concurrently-Implemented Fluidic Operations in Pin-Constrained Digital Microfluidic Biochips.” Proceedings of the Ieee International Conference on Vlsi Design, March 31, 2010, 69–74. https://doi.org/10.1109/VLSI.Design.2010.34.Full Text
-
Shaik, Ameer Basha, Kabita Chakrabarty, Prabirkumar Saha, and Aloke Kumar Ghoshal. “Separation of Hg(II) from Its Aqueous Solution Using Bulk Liquid Membrane.” Industrial & Engineering Chemistry Research 49, no. 6 (March 17, 2010): 2889–94. https://doi.org/10.1021/ie901362m.Full Text
-
Chakrabarty, Kabita, Prabirkumar Saha, and Aloke Kumar Ghoshal. “Separation of mercury from its aqueous solution through supported liquid membrane using environmentally benign diluent.” Journal of Membrane Science 350, no. 1–2 (March 15, 2010): 395–401. https://doi.org/10.1016/j.memsci.2010.01.016.Full Text
-
Chakrabarty, K. “Compact variability modeling to the rescue.” Ieee Design and Test of Computers 27, no. 2 (March 1, 2010): 4. https://doi.org/10.1109/MDT.2010.40.Full Text
-
Chakrabarty, K. “ACM Journal on Emerging Technologies in Computing Systems: Editorial.” Acm Journal on Emerging Technologies in Computing Systems 6, no. 1 (March 1, 2010). https://doi.org/10.1145/1721650.1721651.Full Text
-
Balatsouka, S., V. Tenentes, X. Kavousianos, and K. Chakrabarty. “Defect aware X-filling for low-power scan testing.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2010, 873–78. https://doi.org/10.1109/date.2010.5456928.Full Text
-
Chakrabarty, K. “Design and test in the new decade: Continuity and new directions.” Ieee Design and Test of Computers 27, no. 1 (January 1, 2010): 4–5. https://doi.org/10.1109/MDT.2010.16.Full Text
-
Chakrabarty, K. “Design Automation and Test Solutions for Digital Microfluidic Biochips.” Ieee Transactions on Circuits and Systems I: Regular Papers 57, no. 1 (January 2010): 4–17. https://doi.org/10.1109/tcsi.2009.2038976.Full Text
-
Chakrabarty, Kabita, Prabirkumar Saha, and Aloke Kumar Ghoshal. “Simultaneous separation of mercury and lignosulfonate from aqueous solution using supported liquid membrane.” Journal of Membrane Science 346, no. 1 (January 1, 2010): 37–44. https://doi.org/10.1016/j.memsci.2009.09.010.Full Text
-
Chakrabarty, Krishnendu. “Enabling design and manufacturing through innovations in DFT.” Ieee Design & Test of Computers 27, no. 3 (2010): 2–2.Link to Item
-
Fang, H., K. Chakrabarty, and H. Fujiwara. “RTL DFT techniques to enhance defect coverage for functional test sequences.” Journal of Electronic Testing: Theory and Applications (Jetta) 26, no. 2 (January 1, 2010): 151–64. https://doi.org/10.1007/s10836-009-5135-1.Full Text
-
Fang, Hongxia, Krishnendu Chakrabarty, and Hideo Fujiwara. “RTL DFT Techniques to Enhance Defect Coverage for Functional Test Sequences.” J. Electron. Test. 26 (2010): 151–64.
-
Shafik, R. A., B. M. Al-Hashimi, and K. Chakrabarty. “Soft error-aware design optimization of low power and time-constrained embedded systems.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2010, 1462–67. https://doi.org/10.1109/date.2010.5457042.Full Text
-
Zhang, Z., Z. Wang, X. Gu, and K. Chakrabarty. “Physical defect modeling for fault insertion in system reliability test.” Proceedings International Test Conference, December 15, 2009. https://doi.org/10.1109/TEST.2009.5355715.Full Text
-
Zhou, T., R. R. Choudhury, and K. Chakrabarty. “Diverse routing: Exploiting social behavior for routing in delay-tolerant networks.” Proceedings 12th Ieee International Conference on Computational Science and Engineering, Cse 2009 4 (December 4, 2009): 1115–22. https://doi.org/10.1109/CSE.2009.357.Full Text
-
Bahukudumbi, S., and K. Chakrabarty. “Power management using test-pattern ordering for wafer-level test during burn-in.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 17, no. 12 (December 1, 2009): 1730–41. https://doi.org/10.1109/TVLSI.2008.2006679.Full Text
-
Fang, H., K. Chakrabarty, and R. Parekhji. “Bit-operation-based seed augmentation for LFSR reseeding with high defect coveraged.” Proceedings of the Asian Test Symposium, December 1, 2009, 331–36. https://doi.org/10.1109/ATS.2009.65.Full Text
-
Goel, S. K., E. J. Marinissen, A. Sehgal, and K. Chakrabarty. “Testing of SoCs with hierarchical cores: Common fallacies, test access optimization, and test scheduling.” Ieee Transactions on Computers 58, no. 3 (December 1, 2009): 409–23. https://doi.org/10.1109/TC.2008.169.Full Text
-
Noia, B., K. Chakrabarty, and Y. Xie. “Test-wrapper optimization for embedded cores in TSV-based three-dimensional SOCs.” Proceedings Ieee International Conference on Computer Design: Vlsi in Computers and Processors, December 1, 2009, 70–77. https://doi.org/10.1109/ICCD.2009.5413172.Full Text
-
Xiang, D., B. Yin, and K. Chakrabarty. “Compact test generation for small-delay defects using testable-path information.” Proceedings of the Asian Test Symposium, December 1, 2009, 424–29. https://doi.org/10.1109/ATS.2009.44.Full Text
-
Zhao, Y., R. Sturmer, K. Chakrabarty, and V. K. Pamula. “Optimization of droplet routing for an n-plex bioassay on a digital microfluidic lab-on-chip.” 2009 Ieee Biomedical Circuits and Systems Conference, Biocas 2009, December 1, 2009, 241–44. https://doi.org/10.1109/BIOCAS.2009.5372038.Full Text
-
Fang, H., K. Chakrabarty, and H. Fujiwara. “RTL DFT techniques to enhance defect coverage for functional test sequences.” Proceedings Ieee International High Level Design Validation and Test Workshop, Hldvt, November 18, 2009, 160–65. https://doi.org/10.1109/HLDVT.2009.5340161.Full Text
-
Mao, V., V. Thusu, C. Dwyer, and K. Chakrabarty. “Connecting fabrication defects to fault models and simulation program with integrated circuit emphasis simulations for DNA self-assembled nanoelectronics.” Iet Computers and Digital Techniques 3, no. 6 (November 10, 2009): 553–69. https://doi.org/10.1049/iet-cdt.2008.0136.Full Text
-
Lee, H. H. S., and K. Chakrabarty. “Test challenges for 3D integrated circuits.” Ieee Design and Test of Computers 26, no. 5 (November 6, 2009): 26–35. https://doi.org/10.1109/MDT.2009.125.Full Text
-
Oliver, L. D., K. Chakrabarty, and H. Z. Massoud. “Dual-threshold pass-transistor logic design.” Proceedings of the Acm Great Lakes Symposium on Vlsi, Glsvlsi, November 6, 2009, 291–96. https://doi.org/10.1145/1531542.1531610.Full Text
-
Fang, H., K. Chakrabarty, A. Jas, S. Patil, and C. Tirumurti. “RT-level deviation-based grading of functional test sequences.” Proceedings of the Ieee Vlsi Test Symposium, November 2, 2009, 264–69. https://doi.org/10.1109/VTS.2009.12.Full Text
-
Xu, T., and K. Chakrabarty. “Design-for-testability for digital microfluidic biochips.” Proceedings of the Ieee Vlsi Test Symposium, November 2, 2009, 309–14. https://doi.org/10.1109/VTS.2009.16.Full Text
-
Bhattacharyya, Sagnik, Sumant Khanna, Koushik Chakrabarty, Anita Mahadevan, Rita Christopher, and S. K. Shankar. “Anti-brain autoantibodies and altered excitatory neurotransmitters in obsessive-compulsive disorder.” Neuropsychopharmacology : Official Publication of the American College of Neuropsychopharmacology 34, no. 12 (November 2009): 2489–96. https://doi.org/10.1038/npp.2009.77.Full Text
-
Wu, Wenxin, Harshini Mehta, Kaushik Chakrabarty, J Leland Booth, Elizabeth S. Duggan, Krupa B. Patel, Jimmy D. Ballard, K Mark Coggeshall, and Jordan P. Metcalf. “Resistance of human alveolar macrophages to Bacillus anthracis lethal toxin.” Journal of Immunology (Baltimore, Md. : 1950) 183, no. 9 (November 2009): 5799–5806. https://doi.org/10.4049/jimmunol.0803406.Full Text
-
Yang, Z., and K. Chakrabarty. “Cross-contamination avoidance for droplet routing in digital microfluidic biochips.” Proceedings Design, Automation and Test in Europe, Date, October 22, 2009, 1290–95.
-
Xu, T., and K. Chakrabarty. “Towards design-for-testability for digital microfluidics.” Dtip of Mems and Moems Symposium on Design, Test, Integration and Packaging of Mems/Moems, September 23, 2009, 329–33.
-
Chakrabarty, Kabita, Prabirkumar Saha, and Aloke Kumar Ghoshal. “Separation of lignosulfonate from its aqueous solution using supported liquid membrane.” Journal of Membrane Science 340, no. 1–2 (September 15, 2009): 84–91. https://doi.org/10.1016/j.memsci.2009.05.016.Full Text
-
Dozmorov, Mikhail, Wenxin Wu, Kaushik Chakrabarty, J Leland Booth, Robert E. Hurst, K Mark Coggeshall, and Jordan P. Metcalf. “Gene expression profiling of human alveolar macrophages infected by B. anthracis spores demonstrates TNF-alpha and NF-kappab are key components of the innate immune response to the pathogen.” Bmc Infectious Diseases 9 (September 10, 2009): 152. https://doi.org/10.1186/1471-2334-9-152.Full Text
-
Tao Xu, F. F., and K. Chakrabarty. “Fault modeling and functional test methods for digital microfluidic biochips.” Ieee Transactions on Biomedical Circuits and Systems 3, no. 4 (August 2009): 241–53. https://doi.org/10.1109/tbcas.2009.2022173.Full Text
-
Wang, Z., K. Chakrabarty, and S. Wang. “Integrated LFSR reseeding, test-access optimization, and test scheduling for core-based system-on-chip.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 28, no. 8 (August 1, 2009): 1251–64. https://doi.org/10.1109/TCAD.2009.2021731.Full Text
-
Zhao, Y., and K. Chakrabarty. “On-line testing of lab-on-chip using reconfigurable digital-microfluidic compactors.” International Journal of Parallel Programming 37, no. 4 (August 1, 2009): 370–88. https://doi.org/10.1007/s10766-009-0103-z.Full Text
-
Kaminska, B., and K. Chakrabarty. “Guest editorial - Selected papers from the IEEE international mixed-signals, sensors, and systems test workshop (IMS3TW), 2008.” Ieee Transactions on Biomedical Circuits and Systems 3, no. 4 (July 22, 2009): 193–94. https://doi.org/10.1109/TBCAS.2009.2025648.Full Text
-
Wu, X., P. Falkenstern, K. Chakrabarty, and Y. Xie. “Scan-chain design and optimization for three-dimensional integrated circuits.” Acm Journal on Emerging Technologies in Computing Systems 5, no. 2 (July 1, 2009). https://doi.org/10.1145/1543438.1543442.Full Text
-
Chakrabarty, Kuheli, Sukhendu Roy, Sampad Narayan Gupta, and Gourab Kanti Das. “Effect of the hybridization of the hetero-atom present at the tether on the selectivity of the (3,4)ene cyclization: A theoretical study.” Journal of Molecular Structure: Theochem 901, no. 1–3 (May 2009): 44–48. https://doi.org/10.1016/j.theochem.2008.12.047.Full Text
-
Yu, T. E., T. Yoneda, K. Chakrabarty, and H. Fujiwara. “Test infrastructure design for core-based system-on-chip under cycle-accurate thermal constraints.” Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, April 20, 2009, 793–98. https://doi.org/10.1109/ASPDAC.2009.4796577.Full Text
-
Bahukudumbi, S., S. Ozev, K. Chakrabarty, and V. Iyengar. “Wafer-level defect screening for big-D/small-A mixed-signal SoCs.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 17, no. 4 (April 1, 2009): 587–92. https://doi.org/10.1109/TVLSI.2008.2006075.Full Text
-
Chakrabarty, Kabita, K Vamsee Krishna, Prabirkumar Saha, and Aloke Kumar Ghoshal. “Extraction and recovery of lignosulfonate from its aqueous solution using bulk liquid membrane.” Journal of Membrane Science 330, no. 1–2 (March 2009): 135–44. https://doi.org/10.1016/j.memsci.2008.12.069.Full Text
-
Dozmorov, M., W. Wu, K. Chakrabarty, J. Booth, R. E. Hurst, K. Coggeshall, and J. P. Metcalf. “TNF-alpha AND NF-kappa B ARE CENTRAL COMPONENTS OF THE HUMAN ALVEOLAR MACROPHAGE RESPONSE TO B. ANTHRACIS SPORES.” Journal of Investigative Medicine 57, no. 3 (March 2009): 559–559.Link to Item
-
Wang, Z., H. Fang, K. Chakrabarty, and M. Bienek. “Deviation-based LFSR reseeding for test-data compression.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 28, no. 2 (February 1, 2009): 259–71. https://doi.org/10.1109/TCAD.2008.2009166.Full Text
-
Bahukudumbi, S., and K. Chakrabarty. “Test-length and TAM optimization for wafer-level reduced pin-count testing of core-based SoCs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 28, no. 1 (January 1, 2009): 111–20. https://doi.org/10.1109/TCAD.2008.2009150.Full Text
-
Dozmorov, M., W. Wu, K. Chakrabarty, J. L. Booth, R. E. Hurst, K. M. Coggeshall, and J. P. Metcalf. “Gene Expression Profiling of Human Alveolar Macrophages Infected by B. anthracis Spores Demonstrates TNF-alpha and NF-kappa B Are Key Components of the Innate Immune Response to the Pathogen.” American Journal of Respiratory and Critical Care Medicine 179 (2009).Link to Item
-
Jiang, L., Q. Xu, K. Chakrabarty, and T. M. Mak. “Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, January 1, 2009, 191–96. https://doi.org/10.1145/1687399.1687434.Full Text
-
Kavousianos, X., and K. Chakrabarty. “Generation of compact test sets with high defect coverage.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2009, 1130–35. https://doi.org/10.1109/date.2009.5090833.Full Text
-
Paul, B. C., and K. Chakrabarty. “Editorial: Advances in nanoelectronics circuits and systems.” Iet Computers & Digital Techniques 3, no. 6 (2009): 551–551. https://doi.org/10.1049/iet-cdt.2009.9040.Full Text
-
Wang, Z., H. Fang, K. Chakrabarty, and M. Bienek. “Deviation-based LFSR reseeding for test-data compression.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 28, no. 1 (January 1, 2009): 259–71.
-
Wang, Z., K. Chakrabarty, and S. Wang. “Integrated LFSR reseeding, test-access optimization, and test scheduling for core-based system-on-chip.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 28, no. 1 (January 1, 2009): 1251–64.
-
Xu, Q., Y. Zhang, and K. Chakrabarty. “SOC test-architecture optimization for the testing of embedded cores and signal-integrity faults on core-external interconnects.” Acm Transactions on Design Automation of Electronic Systems 14, no. 1 (January 1, 2009). https://doi.org/10.1145/1455229.1455233.Full Text
-
Yilmaz, M., and K. Chakrabarty. “Seed selection in LFSR-reseeding-based test compression for the detection of small-delay defects.” Proceedings Design, Automation and Test in Europe, Date, January 1, 2009, 1488–93. https://doi.org/10.1109/date.2009.5090898.Full Text
-
Xu, T., K. Chakrabarty, and V. K. Pamula. “Design and optimization of a digital microfluidic biochip for protein crystallization.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, December 26, 2008, 297–301. https://doi.org/10.1109/ICCAD.2008.4681589.Full Text
-
Bahukudumbi, S., and K. Chakrabarty. “Power management for wafer-level test during burn-in.” Proceedings of the Asian Test Symposium, December 1, 2008, 231–36. https://doi.org/10.1109/ATS.2008.26.Full Text
-
Chakrabarty, K. “Towards fault-tolerant digital microfluidic lab-on-chip: Defects, fault modeling, testing, and reconfiguration.” 2008 Ieee Biocas Biomedical Circuits and Systems Conference, Biocas 2008, December 1, 2008, 329–32. https://doi.org/10.1109/BIOCAS.2008.4696941.Full Text
-
Larsson, A., X. Zhang, E. Larsson, and K. Chakrabarty. “Core-level compression technique selection and SOC test architecture design.” Proceedings of the Asian Test Symposium, December 1, 2008, 277–82. https://doi.org/10.1109/ATS.2008.71.Full Text
-
Larsson, A., Z. Xin, E. Larsson, and K. Chakrabarty. “SOC test optimization with compression-technique selection.” Proceedings International Test Conference, December 1, 2008. https://doi.org/10.1109/TEST.2008.4700685.Full Text
-
Liu, C., K. Chakrabarty, and W. B. Jone. “System/Network-On-Chip Test Architectures,” December 1, 2008, 171–224. https://doi.org/10.1016/B978-012373973-5.50009-7.Full Text
-
Mao, V., C. Dwyer, and K. Chakrabarty. “Fabrication defects and fault models for DNA self-assembled nanoelectronics.” Proceedings International Test Conference, December 1, 2008. https://doi.org/10.1109/TEST.2008.4700634.Full Text
-
Mitra, D., S. Ghoshal, H. Rahaman, B. B. Bhattacharya, D. D. Majumder, and K. Chakrabarty. “Accelerated functional testing of digital microfluidic biochips.” Proceedings of the Asian Test Symposium, December 1, 2008, 295–300. https://doi.org/10.1109/ATS.2008.48.Full Text
-
Wu, X., Y. Chen, K. Chakrabarty, and Y. Xie. “Test-access solutions for three-dimensional SOCs.” Proceedings International Test Conference, December 1, 2008. https://doi.org/10.1109/TEST.2008.4700684.Full Text
-
Wu, X., Y. Chen, K. Chakrabarty, and Y. Xie. “Test-access mechanism optimization for core-based three-dimensional SOCs.” 26th Ieee International Conference on Computer Design 2008, Iccd, December 1, 2008, 212–18. https://doi.org/10.1109/ICCD.2008.4751864.Full Text
-
Xu, T., V. K. Pamula, and K. Chakrabarty. “Automated, accurate, and inexpensive solution-preparation on a digital microfluidic biochip.” 2008 Ieee Biocas Biomedical Circuits and Systems Conference, Biocas 2008, December 1, 2008, 301–4. https://doi.org/10.1109/BIOCAS.2008.4696934.Full Text
-
Yilmaz, M., K. Chakrabarty, and M. Tehranipoor. “Interconnect-aware and layout-oriented test-pattern selection for small-delay defects.” Proceedings International Test Conference, December 1, 2008. https://doi.org/10.1109/TEST.2008.4700627.Full Text
-
Zhao, Y., T. Xu, and K. Chakrabarty. “Built-in Self-Test and Fault Diagnosis for Lab-on-Chip Using Digital Microfluidic Logic Gates.” Proceedings International Test Conference, December 1, 2008. https://doi.org/10.1109/TEST.2008.4700635.Full Text
-
Mitra, D., S. Ghoshal, H. Rahaman, B. B. Bhattacharya, D. D. Majumder, and K. Chakrabarty. “Accelerated functional testing of digital microfluidic biochips.” Proceedings Ieee International Workshop on Design and Test of Nano Devices, Circuits and Systems, Ndcs 2008, November 24, 2008, 81–84. https://doi.org/10.1109/NDCS.2008.15.Full Text
-
Wang, Z., and K. Chakrabarty. “Test data compression using selective encoding of scan slices.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 16, no. 11 (November 1, 2008): 1429–40. https://doi.org/10.1109/TVLSI.2008.2000674.Full Text
-
Xu, T., and K. Chakrabarty. “A droplet-manipulation method for achieving high-throughput in cross-referencing-based digital microfluidic biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 27, no. 11 (November 1, 2008): 1905–17. https://doi.org/10.1109/TCAD.2008.2006086.Full Text
-
Zhao, Y., and K. Chakrabarty. “On-line testing of lab-on-chip using digital microfluidic compactors.” Proceedings 14th Ieee International on Line Testing Symposium, Iolts 2008, September 24, 2008, 213–18. https://doi.org/10.1109/IOLTS.2008.45.Full Text
-
Xu, T., and K. Chakrabarty. “Broadcast electrode-addressing for pin-constrained multi-functional digital microfluidic biochips.” Proceedings Design Automation Conference, September 17, 2008, 173–78. https://doi.org/10.1109/DAC.2008.4555803.Full Text
-
Zhao, Y., and K. Chakrabarty. “Fault diagnosis for lab-on-chip using digital microfluidic logic gates.” 2008 Ieee 14th International Mixed Signals, Sensors, and Systems Test Workshop, Ims3tw, September 17, 2008. https://doi.org/10.1109/IMS3TW.2008.4581619.Full Text
-
Bahukudumbi, S., and K. Chakrabarty. “Test-pattern ordering for wafer-level test-during-burn-in.” Proceedings of the Ieee Vlsi Test Symposium, September 16, 2008, 193–98. https://doi.org/10.1109/VTS.2008.28.Full Text
-
Yilmaz, M., K. Chakrabarty, and M. Tehranipoor. “Test-pattern grading and pattern selection for small-delay defects.” Proceedings of the Ieee Vlsi Test Symposium, September 16, 2008, 233–39. https://doi.org/10.1109/VTS.2008.32.Full Text
-
Bahukudumbi, S., K. Chakrabarty, and R. Kacprowicz. “Test scheduling for wafer-level test-during-burn-in of core-based SoCs.” Proceedings Design, Automation and Test in Europe, Date, August 25, 2008, 1103–6. https://doi.org/10.1109/DATE.2008.4484925.Full Text
-
Larsson, A., E. Larsson, K. Chakrabarty, P. Eles, and Z. Peng. “Test-architecture optimization and test scheduling for SOCs with core-level expansion of compressed test patterns.” Proceedings Design, Automation and Test in Europe, Date, August 25, 2008, 188–93. https://doi.org/10.1109/DATE.2008.4484684.Full Text
-
Paik, P. Y., V. K. Pamula, and K. Chakrabarty. “A digital-microfluidic approach to chip cooling.” Ieee Design and Test of Computers 25, no. 4 (August 21, 2008): 372–91. https://doi.org/10.1109/MDT.2008.87.Full Text
-
Badereddine, N., Z. Wang, P. Girard, K. Chakrabarty, A. Virazel, S. Pravossoudovitch, and C. Landrault. “A selective scan slice encoding technique for test data volume and test power reduction.” Journal of Electronic Testing: Theory and Applications (Jetta) 24, no. 4 (August 1, 2008): 353–64. https://doi.org/10.1007/s10836-007-5053-z.Full Text
-
Lebeck, A. R., and K. Chakrabarty. “Introduction to DAC 2007 special section.” Acm Journal on Emerging Technologies in Computing Systems 4, no. 3 (August 1, 2008). https://doi.org/10.1145/1389089.1389090.Full Text
-
Xu, T., and K. Chakrabarty. “Integrated droplet routing and defect tolerance in the synthesis of digital microfluidic biochips.” Acm Journal on Emerging Technologies in Computing Systems 4, no. 3 (August 1, 2008). https://doi.org/10.1145/1389089.1389091.Full Text
-
Chakrabarty, Koushik, and Rolf Heumann. “Prospective of Ras signaling in stem cells.” Biological Chemistry 389, no. 7 (July 2008): 791–98. https://doi.org/10.1515/bc.2008.104.Full Text
-
Sehgal, A., S. Bahukudumbi, and K. Chakrabarty. “Power-aware SoC test planning for effective utilization of port-scalable testers.” Acm Transactions on Design Automation of Electronic Systems 13, no. 3 (July 1, 2008). https://doi.org/10.1145/1367045.1367062.Full Text
-
Chakrabarty, Kuheli, Sukhendu Roy, and Gourab Kanti Das. “Effect of the heteroatomic substituent on the pi-facial diastereoselectivity in Lewis acid catalyzed carbonyl ene reaction: A theoretical study.” Journal of Molecular Structure: Theochem 858, no. 1–3 (June 2008): 107–12. https://doi.org/10.1016/j.theochem.2008.02.029.Full Text
-
Xiang, D., Y. Zhao, K. Chakrabarty, and H. Fujiwara. “A reconfigurable scan architecture with weighted scan-enable signals for deterministic BIST.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 27, no. 6 (June 1, 2008): 999–1012. https://doi.org/10.1109/TCAD.2008.923260.Full Text
-
Xu, T., and K. Chakrabarty. “Automated design of digital microfluidic lab-on-chip under pin-count constraints.” Proceedings of the International Symposium on Physical Design, May 16, 2008, 190–98. https://doi.org/10.1145/1353629.1353670.Full Text
-
Samii, S., M. Selkälä, E. Larsson, K. Chakrabarty, and Z. Peng. “Cycle-accurate test power modeling and its application to SoC test architecture design and scheduling.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 27, no. 5 (May 1, 2008): 973–77. https://doi.org/10.1109/TCAD.2008.917974.Full Text
-
Bahar, R. I., and K. Chakrabarty. “Introduction to joint ACM JETC/TODAES special issue on new, emerging, and specialized technologies.” Acm Transactions on Design Automation of Electronic Systems 13, no. 2 (April 1, 2008). https://doi.org/10.1145/1344418.1344432.Full Text
-
Bahar, R. I., and K. Chakrabarty. “Introduction to joint ACM JETC/TODAES special issue on new, emerging, and specialized technologies.” Acm Journal on Emerging Technologies in Computing Systems 4, no. 2 (April 1, 2008). https://doi.org/10.1145/1350763.1350765.Full Text
-
Paik, P. Y., V. K. Pamula, and K. Chakrabarty. “Adaptive cooling of integrated circuits using digital microfluidics.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 16, no. 4 (April 1, 2008): 432–43. https://doi.org/10.1109/TVLSI.2007.915434.Full Text
-
Tao Xu, D., K. Chakrabarty, and K. Fei Su. “Defect-aware high-level synthesis and module placement for microfluidic biochips.” Ieee Transactions on Biomedical Circuits and Systems 2, no. 1 (March 2008): 50–62. https://doi.org/10.1109/tbcas.2008.918283.Full Text
-
Wang, Z., and K. Chakrabarty. “Test-quality/cost optimization using output-deviation-based reordering of test patterns.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 27, no. 2 (February 1, 2008): 352–64. https://doi.org/10.1109/TCAD.2007.907228.Full Text
-
Langer, Marybeth, Alexander Malykhin, Kenichiro Maeda, Kaushik Chakrabarty, Kelly S. Williamson, Christa L. Feasley, Christopher M. West, Jordan P. Metcalf, and K Mark Coggeshall. “Bacillus anthracis peptidoglycan stimulates an inflammatory response in monocytes through the p38 mitogen-activated protein kinase pathway.” Plos One 3, no. 11 (January 2008): e3706. https://doi.org/10.1371/journal.pone.0003706.Full Text
-
Su, F., and K. Chakrabarty. “High-level synthesis of digital microfluidic biochips.” Acm Journal on Emerging Technologies in Computing Systems 3, no. 4 (January 1, 2008). https://doi.org/10.1145/1324177.1324178.Full Text
-
Yu, T. E., T. Yoneda, K. Chakrabarty, and H. Fujiwara. “Thermal-aware test access mechanism and wrapper design optimization for system-on-chips.” Ieice Transactions on Information and Systems E91-D, no. 10 (January 1, 2008): 2440–48. https://doi.org/10.1093/ietisy/e91-d.10.2440.Full Text
-
Chakrabarty, K. “Digital microfluidics: Connecting biochemistry to electronic system design.” Proceedings of the 5th International Conference on Nanochannels, Microchannels and Minichannels, Icnmm2007, December 21, 2007, 1007–14. https://doi.org/10.1115/ICNMM2007-30158.Full Text
-
Bahukudumbi, S., S. Ozev, K. Chakrabarty, and V. Iyengar. “A wafer-level defect screening technique to reduce test and packaging costs for "big-D/small-A" mixed-signal SoCs.” Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, December 1, 2007, 823–28. https://doi.org/10.1109/ASPDAC.2007.358091.Full Text
-
Bahukudumbi, S., and K. Chakrabarty. “Test-length selection and TAM optimization for wafer-level, reduced pin-count testing of core-based digital SoCs.” Proceedings of the Ieee International Conference on Vlsi Design, December 1, 2007, 459–64. https://doi.org/10.1109/VLSID.2007.157.Full Text
-
Chakrabarty, K. “Design and test of microfluidic biochips.” Proceedings of the 2007 Ieee Workshop on Design and Diagnostics of Electronic Circuits and Systems, Ddecs, December 1, 2007. https://doi.org/10.1109/DDECS.2007.4295247.Full Text
-
Kumar, A., K. Chakrabarty, and C. R. Mohan. “An ECO technique for removing crosstalk violations in clock networks.” Proceedings of the Ieee International Conference on Vlsi Design, December 1, 2007, 283–88. https://doi.org/10.1109/VLSID.2007.30.Full Text
-
Xiang, D., K. Chakrabarty, D. Hu, and H. Fujiwara. “Scan testing for complete coverage of path delay faults with reduced test data volume, test application time and hardware cost.” Proceedings of the Asian Test Symposium, December 1, 2007, 329–34. https://doi.org/10.1109/ATS.2007.4388034.Full Text
-
Xu, T., K. Chakrabarty, and F. Su. “Defect-aware synthesis of droplet-based microfluidic biochips.” Proceedings of the Ieee International Conference on Vlsi Design, December 1, 2007, 647–52. https://doi.org/10.1109/VLSID.2007.60.Full Text
-
Yu, T. E., T. Yoneda, K. Chakrabarty, and H. Fujiwara. “Thermal-safe test access mechanism and wrapper co-optimization for system-on-chip.” Proceedings of the Asian Test Symposium, December 1, 2007, 187–92. https://doi.org/10.1109/ATS.2007.4388007.Full Text
-
Zhou, T., R. R. Choudhury, P. Ning, and K. Chakrabarty. “Privacy-preserving detection of sybil attacks in vehicular ad hoc networks.” Proceedings of the 4th Annual International Conference on Mobile and Ubiquitous Systems: Computing, Networking and Services, Mobiquitous 2007, December 1, 2007. https://doi.org/10.1109/MOBIQ.2007.4451013.Full Text
-
Chakrabarty, K., and S. Sapatnekar. “Editorial to special issue DAC 2006.” Acm Journal on Emerging Technologies in Computing Systems 3, no. 3 (November 1, 2007). https://doi.org/10.1145/1295231.1295232.Full Text
-
Xu, T., W. L. Hwang, F. Su, and K. Chakrabarty. “Automated design of pin-constrained digital microfluidic biochips under droplet-interference constraints.” Acm Journal on Emerging Technologies in Computing Systems 3, no. 3 (November 1, 2007). https://doi.org/10.1145/1295231.1295235.Full Text
-
Bahukudumbi, S., and K. Chakrabarty. “Wafer-level modular testing of core-based SoCs.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 15, no. 10 (October 1, 2007): 1144–53. https://doi.org/10.1109/TVLSI.2007.903943.Full Text
-
Roy, Sukhendu, Kuheli Chakrabarty, and Gourab Kanti Das. “Comparative study on the transition structures of (3,4) and (3,5) ene cyclizations: A theoretical approach.” Journal of Molecular Structure: Theochem 820, no. 1–3 (October 2007): 112–17. https://doi.org/10.1016/j.theochem.2007.06.021.Full Text
-
Wang, Z., K. Chakrabarty, and M. Bienek. “A seed-selection method to increase defect coverage for LFSR-reseeding-based test compression.” Proceedings 12th Ieee European Test Symposium, Ets 2007, September 25, 2007, 125–30. https://doi.org/10.1109/ETS.2007.8.Full Text
-
Xu, T., and K. Chakrabarty. “Parallel scan-like testing and fault diagnosis techniques for digital microfluidic biochips.” Proceedings 12th Ieee European Test Symposium, Ets 2007, September 25, 2007, 63–68. https://doi.org/10.1109/ETS.2007.32.Full Text
-
O’Connor, I., B. Courtois, K. Chakrabarty, N. Delorme, M. Hampton, and J. Hartung. “Heterogeneous systems on chip and systems in package.” Proceedings Design, Automation and Test in Europe, Date, September 4, 2007, 737–42. https://doi.org/10.1109/DATE.2007.364683.Full Text
-
Xu, T., and K. Chakrabarty. “A cross-referencing-based droplet manipulation method for high-throughput and pin-constrained digital microfluidic arrays.” Proceedings Design, Automation and Test in Europe, Date, September 4, 2007, 552–57. https://doi.org/10.1109/DATE.2007.364651.Full Text
-
Zhanglei, W., K. Chakrabarty, and W. Seongmoon. “SoC testing using LFSR reseeding, and scan-slice-based TAM optimization and test scheduling.” Proceedings Design, Automation and Test in Europe, Date, September 4, 2007, 201–6. https://doi.org/10.1109/DATE.2007.364591.Full Text
-
Tao, X., and K. Chakrabarty. “Integrated droplet routing in the synthesis of microfluidic biochips.” Proceedings Design Automation Conference, August 2, 2007, 948–53. https://doi.org/10.1109/DAC.2007.375301.Full Text
-
Xu, Q., Y. Zhang, and K. Chakrabarty. “SOC test architecture optimization for signal integrity faults on core-external interconnects.” Proceedings Design Automation Conference, August 2, 2007, 676–81. https://doi.org/10.1109/DAC.2007.375250.Full Text
-
Chakrabarty, Kaushik, Wenxin Wu, J Leland Booth, Elizabeth S. Duggan, Nancy N. Nagle, K Mark Coggeshall, and Jordan P. Metcalf. “Human lung innate immune response to Bacillus anthracis spore infection.” Infection and Immunity 75, no. 8 (August 2007): 3729–38. https://doi.org/10.1128/iai.00046-07.Full Text
-
Xu, Q., N. Nicolici, and K. Chakrabarty. “Test wrapper design and optimization under power constraints for embedded cores with multiple clock domains.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 26, no. 8 (August 1, 2007): 1539–47. https://doi.org/10.1109/TCAD.2007.893556.Full Text
-
Zou, Y., and K. Chakrabarty. “Distributed mobility management for target tracking in mobile sensor networks.” Ieee Transactions on Mobile Computing 6, no. 8 (August 1, 2007): 872–87. https://doi.org/10.1109/TMC.2007.1005.Full Text
-
Zou, Y., and K. Chakrabarty. “Redundancy analysis and a distributed self-organization protocol for fault-tolerant wireless sensor networks.” International Journal of Distributed Sensor Networks 3, no. 3 (July 1, 2007): 243–72. https://doi.org/10.1080/15501320600781078.Full Text
-
Dolezal, Karel, Igor Popa, Eva Hauserová, Lukás Spíchal, Kuheli Chakrabarty, Ondrej Novák, Vladimír Krystof, Jirí Voller, Jan Holub, and Miroslav Strnad. “Preparation, biological activity and endogenous occurrence of N6-benzyladenosines.” Bioorganic & Medicinal Chemistry 15, no. 11 (June 2007): 3737–47. https://doi.org/10.1016/j.bmc.2007.03.038.Full Text
-
Su, F., W. Hwang, A. Mukherjee, and K. Chakrabarty. “Testing and diagnosis of realistic defects in digital microfluidic biochips.” Journal of Electronic Testing: Theory and Applications (Jetta) 23, no. 2–3 (June 1, 2007): 219–33. https://doi.org/10.1007/s10836-006-0554-8.Full Text
-
Tao Xu, Krishnendu, and K. Chakrabarty. “Parallel scan-like test and multiple-defect diagnosis for digital microfluidic biochips.” Ieee Transactions on Biomedical Circuits and Systems 1, no. 2 (June 2007): 148–58. https://doi.org/10.1109/tbcas.2007.909025.Full Text
-
Wang, Z., and K. Chakrabarty. “Built-in self-test and defect tolerance in molecular electronics-based nanofabrics.” Journal of Electronic Testing: Theory and Applications (Jetta) 23, no. 2–3 (June 1, 2007): 145–61. https://doi.org/10.1007/s10836-006-0550-z.Full Text
-
Zhang, Qi, Valta Collins, Kaushik Chakrabarty, James C. Rose, and Wen Xuan Wu. “Regulation of the prostaglandin enzymatic system by estradiol and progesterone in nonpregnant sheep cervix.” Reproduction (Cambridge, England) 133, no. 5 (May 2007): 1027–34. https://doi.org/10.1530/rep-06-0328.Full Text
-
Chakrabarty, K. K., A. K. Nath, and S. Sengupta. “Nor'wester over west Bengal and comfortability.” Mausam 58, no. 2 (April 2007): 177–88.Link to Item
-
Chakrabarty, Koushik, Tsvetan Serchov, Stefan A. Mann, Irmgard D. Dietzel, and Rolf Heumann. “Enhancement of dopaminergic properties and protection mediated by neuronal activation of Ras in mouse ventral mesencephalic neurones.” The European Journal of Neuroscience 25, no. 7 (April 2007): 1971–81. https://doi.org/10.1111/j.1460-9568.2007.05457.x.Full Text
-
Chakrabarty, Kuheli, Sukhendu Roy, Gourab Kanti Das, and Nityagopal Mondal. “Pi-diastereofacial selectivity on carbonyl enophile in carbonyl ene reaction: A new insight on the substituent effect in ene cyclization.” Journal of Molecular Structure: Theochem 805, no. 1–3 (March 2007): 1–7. https://doi.org/10.1016/j.theochem.2006.10.016.Full Text
-
Chakrabarty, Kankana, and Ioan Despi. “nk-bags.” International Journal of Intelligent Systems 22, no. 2 (February 2007): 223–36. https://doi.org/10.1002/int.20195.Full Text
-
Chakrabarty, K., and R. Thewes. “Guest editors' introduction: Biochips and integrated biosensor platforms.” Ieee Design and Test of Computers 24, no. 1 (January 1, 2007): 8–9. https://doi.org/10.1109/MDT.2007.15.Full Text
-
Li, L., Z. Wang, and K. Chakrabarty. “Scan-BIST Based on Cluster Analysis and the Encoding of Repeating Sequences.” Acm Transactions on Design Automation of Electronic Systems 12, no. 1 (January 1, 2007): 1–21. https://doi.org/10.1145/1188275.1188279.Full Text
-
Sehgal, Anuja, and Krishnendu Chakrabarty. “Optimization of Dual-Speed TAM Architectures for Efficient Modular Testing of SOCs.” Ieee Transactions on Computers 56, no. 1 (January 2007): 120–33. https://doi.org/10.1109/tc.2007.250628.Full Text
-
Xu, Q., Y. Zhang, and K. Chakrabarty. “Test-wrapper designs for the detection of signal-integrity faults on core-external interconnects of SoCs.” Proceedings International Test Conference, January 1, 2007. https://doi.org/10.1109/TEST.2007.4437572.Full Text
-
Xu, T., P. Thwar, V. Srinivasan, V. K. Pamula, and K. Chakrabarty. “Digital microfluidic biochip design for protein crystallization.” 2007 Ieee/Nih Life Science Systems and Applications Workshop, Lisa, January 1, 2007, 140–43. https://doi.org/10.1109/LSSA.2007.4400904.Full Text
-
Xu, T., and K. Chakrabarty. “Functional testing of digital microfluidic biochips.” Proceedings International Test Conference, January 1, 2007. https://doi.org/10.1109/TEST.2007.4437614.Full Text
-
Badereddine, N., Z. Wang, P. Girard, K. Chakrabarty, A. Virazel, S. Pravossoudovitch, and C. Landrault. “Power-aware test data compression for embedded IP cores.” Proceedings of the Asian Test Symposium 2006 (December 1, 2006): 5–10. https://doi.org/10.1109/ATS.2006.260985.Full Text
-
Hwang, W. L., F. Su, and K. Chakrabarty. “Automated design of pin-constrained digital microfluidic arrays for lab-on-a-chip applications*.” Proceedings Design Automation Conference, December 1, 2006, 925–30. https://doi.org/10.1145/1146909.1147144.Full Text
-
Su, F., K. Chakrabarty, and R. B. Fair. “Microfluidics-based biochips: Technology issues, implementation platforms, and design automation challenges,” December 1, 2006, 1–29. https://doi.org/10.1007/1-4020-5123-9_1.Full Text
-
Su, F., W. Hwang, and K. Chakrabarty. “Droplet routing in the synthesis of digital microfluidic biochips.” Proceedings Design, Automation and Test in Europe, Date 1 (December 1, 2006).
-
Su, F., and K. Chakrabarty. “Defect tolerance based on graceful degradation and dynamic reconfiguration for digital microfluidics-based biochips.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 25, no. 12 (December 1, 2006): 2944–53. https://doi.org/10.1109/TCAD.2006.882480.Full Text
-
Wang, Z., and K. Chakrabarty. “An efficient test pattern selection method for improving defect coverage with reduced test data volume and test application time.” Proceedings of the Asian Test Symposium 2006 (December 1, 2006): 333–38. https://doi.org/10.1109/ATS.2006.260952.Full Text
-
Xiang, D., Y. Zhao, K. Chakrabarty, J. Sun, and H. Fujiwara. “Compressing test data for deterministic BIST using a reconfigurable scan architecture.” Proceedings of the Asian Test Symposium 2006 (December 1, 2006): 299–304. https://doi.org/10.1109/ATS.2006.261035.Full Text
-
Xu, T., and K. Chakrabarty. “Droplet-trace-based array partitioning and a pin assignment algorithm for the automated design of digital microfluidic biochips.” Codes+Isss 2006: Proceedings of the 4th International Conference on Hardware Software Codesign and System Synthesis, December 1, 2006, 112–17. https://doi.org/10.1145/1176254.1176283.Full Text
-
Zhanglei, W., K. Chakrabarty, and M. Goessel. “Test set enrichment using a probabilistic fault model and the theory of output deviations.” Proceedings Design, Automation and Test in Europe, Date 1 (December 1, 2006).
-
Zhou, T., and K. Chakrabarty. “Authentication of sensor network flooding based on neighborhood cooperation.” Ieee Wireless Communications and Networking Conference, Wcnc 2 (December 1, 2006): 665–70. https://doi.org/10.1109/WCNC.2004.1311265.Full Text
-
Rosinger, P., B. M. Al-Hashimi, and K. Chakrabarty. “Thermal-safe test scheduling for core-based system-on-chip integrated circuits.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 25, no. 11 (November 1, 2006): 2502–11. https://doi.org/10.1109/TCAD.2006.873898.Full Text
-
Su, F., and K. Chakrabarty. “Module placement for fault-tolerant microfluidics-based biochips.” Acm Transactions on Design Automation of Electronic Systems 11, no. 3 (September 13, 2006): 682–710. https://doi.org/10.1145/1142980.1142987.Full Text
-
Su, F., and K. Chakrabarty. “Yield enhancement of reconfigurable microfluidics-based biochips using interstitial redundancy.” Acm Journal on Emerging Technologies in Computing Systems 2, no. 2 (August 7, 2006): 104–28. https://doi.org/10.1145/1148015.1148017.Full Text
-
Würtenberger, A., P. Rosinger, B. M. Al-Hashimi, and K. Chakrabarty. “Cost model driven test resource partitioning for SoCs.” Electronics Letters 42, no. 16 (August 3, 2006): 915–17. https://doi.org/10.1049/el:20061556.Full Text
-
Chakrabarty, Kaushik, Wenxin Wu, J Leland Booth, Elizabeth S. Duggan, K Mark Coggeshall, and Jordan P. Metcalf. “Bacillus anthracis spores stimulate cytokine and chemokine innate immune responses in human alveolar macrophages through multiple mitogen-activated protein kinase pathways.” Infection and Immunity 74, no. 8 (August 2006): 4430–38. https://doi.org/10.1128/iai.00446-06.Full Text
-
Zhang, Qi, Valta Collins, Kaushik Chakrabarty, Roman F. Wolf, Nobuya Unno, David Howe, James C. Rose, and Wen Xuan Wu. “Regulation of membrane-associated prostaglandin E2 synthase 1 in pregnant sheep intrauterine tissues by glucocorticoid and estradiol.” Endocrinology 147, no. 8 (August 2006): 3719–26. https://doi.org/10.1210/en.2006-0293.Full Text
-
Su, F., S. Ozev, and K. Chakrabarty. “Concurrent testing of digital microfluidics-based biochips.” Acm Transactions on Design Automation of Electronic Systems 11, no. 2 (July 24, 2006): 442–64. https://doi.org/10.1145/1142155.1142164.Full Text
-
Su, F., S. Ozev, and K. Chakrabarty. “Test planning and test resource optimization For droplet-based microfluidic systems.” Journal of Electronic Testing: Theory and Applications (Jetta) 22, no. 2 (April 1, 2006): 199–210. https://doi.org/10.1007/s10836-005-1256-3.Full Text
-
Sehgal, A., S. Ozev, and K. Chakrabarty. “Test infrastructure design for mixed-signal SOCs with wrapped analog cores.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 14, no. 3 (March 2006): 292–304. https://doi.org/10.1109/tvlsi.2006.871758.Full Text
-
Chakrabarty, K., and J. Zeng. “IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems: Guest editorial.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 25, no. 2 (February 1, 2006): 209–10. https://doi.org/10.1109/TCAD.2005.861226.Full Text
-
Chakrabarty, Kuheli, Sukhendu Roy, and Gourab Kanti Das. “Effect of hetero atom on the conformational stability of the forming ring in the transition structures of Type-II ene cyclization: a theoretical study.” Journal of Molecular Structure: Theochem 760, no. 1–3 (February 2006): 203–7. https://doi.org/10.1016/j.theochem.2005.12.020.Full Text
-
Su, F., K. Chakrabarty, and R. B. Fair. “Microfluidics-based biochips: Technology issues, implementation platforms, and design-automation challenges.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 25, no. 2 (February 1, 2006): 211–23. https://doi.org/10.1109/TCAD.2005.855956.Full Text
-
Bahukudumbi, S., and K. Chakrabarty. “Defect-oriented and time-constrained wafer-level test-length selection for core-based digital SoCs.” Proceedings International Test Conference, January 1, 2006. https://doi.org/10.1109/TEST.2006.297646.Full Text
-
Chakrabarty, K. “Automated design of microfluidics-based biochips: Connecting biochemistry to electronics CAD.” Proceedings 2006 International Conference on Design and Test of Integrated Systems in Nanoscale Technology, Ieee Dtis 2006, January 1, 2006, 2. https://doi.org/10.1109/dtis.2006.1708725.Full Text
-
Chakrabarty, K. “Automated design of microfluidics-based biochips: Connecting biochemistry to electronics CAD.” Proceedings 2006 International Conference on Design and Test of Integrated Systems in Nanoscale Technology, Ieee Dtis 2006, 2006.
-
Oliver, L. D., K. Chakrabarty, and H. Z. Massoud. “An evaluation of the impact of gate oxide tunneling on dual-V t-based leakage reduction techniques.” Proceedings of the Acm Great Lakes Symposium on Vlsi, Glsvlsi 2006 (January 1, 2006): 105–10. https://doi.org/10.1145/1127908.1127935.Full Text
-
Roy, S., K. Chakrabarty, N. Mondal, and G. K. Das. “Effect of electrostatic potential of transition state on the stereo selectivity in ene cyclisation: A theoretical study.” Indian Journal of Chemistry Section a Inorganic Bio Inorganic Physical Theoretical & Analytical Chemistry 45, no. 1 (January 2006): 45–50.Link to Item
-
Samii, S., E. Larsson, K. Chakrabarty, and Z. Peng. “Cycle-accurate test power modeling and its application to SoC test scheduling.” Proceedings International Test Conference, January 1, 2006. https://doi.org/10.1109/TEST.2006.297693.Full Text
-
Sehgal, A., S. K. Goel, E. J. Marinissen, and K. Chakrabarty. “Hierarchy-aware and area-efficient test infrastructure design for core-based system chips.” Proceedings Design, Automation and Test in Europe, Date 1 (January 1, 2006). https://doi.org/10.1109/date.2006.244140.Full Text
-
Zhang, Y., and K. Chakrabarty. “A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 25, no. 1 (January 1, 2006): 111–25. https://doi.org/10.1109/TCAD.2005.852657.Full Text
-
Tafaj, E., P. Rosinger, B. M. Al-Hashimi, and K. Chakrabarty. “Improving thermal-safe test scheduling for core-based systems-on-chip using shift frequency scaling.” Proceedings Ieee International Symposium on Defect and Fault Tolerance in Vlsi Systems, December 12, 2005, 544–51.
-
CHAKRABARTY, K. A. N. K. A. N. A., and S. U. D. A. R. S. A. N. NANDA. “A NOTE ON FIXED POINT THEOREM FOR FUZZY MAPPINGS.” International Journal of Uncertainty, Fuzziness and Knowledge Based Systems 13, no. 06 (December 2005): 613–17. https://doi.org/10.1142/s0218488505003709.Full Text
-
Chakrabarty, K. “Design, testing, and applications of digital microfluidics-based biochips.” Proceedings of the Ieee International Conference on Vlsi Design, December 1, 2005, 221–26.
-
Li, L., K. Chakrabarty, S. Kajihara, and S. Swaminathan. “Efficient space/time compression to reduce test data volume and testing time for IP cores.” Proceedings of the Ieee International Conference on Vlsi Design, December 1, 2005, 53–58.
-
Li, L., and K. Chakrabarty. “Hybrid BIST based on repeating sequences and cluster analysis.” Proceedings Design, Automation and Test in Europe, Date ’05 II (December 1, 2005): 1142–47. https://doi.org/10.1109/DATE.2005.177.Full Text
-
Paik, P., V. K. Pamula, and K. Chakrabarty. “Adaptive hot-spot cooling of integrated circuits using digital microfluidics.” American Society of Mechanical Engineers, Micro Electro Mechanical Systems Division, (Publications) Mems 7 MEMS (December 1, 2005): 673–78. https://doi.org/10.1115/IMECE2005-81081.Full Text
-
Rosinger, P., B. Al-Hashimi, and K. Chakrabarty. “Rapid generation of thermal-safe test schedules.” Proceedings Design, Automation and Test in Europe, Date ’05 II (December 1, 2005): 840–45. https://doi.org/10.1109/DATE.2005.252.Full Text
-
Sehgal, A., F. Liu, S. Ozev, and K. Chakrabarty. “Test planning for mixed-signal SOCs with wrapped analog cores.” Proceedings Design, Automation and Test in Europe, Date ’05 I (December 1, 2005): 50–55. https://doi.org/10.1109/DATE.2005.303.Full Text
-
Sehgal, A., S. Ozev, and K. Chakrabarty. “A flexible design methodology for analog test wrappers in mixed-signal SOCs.” Proceedings Ieee International Conference on Computer Design: Vlsi in Computers and Processors 2005 (December 1, 2005): 137–42. https://doi.org/10.1109/ICCD.2005.8.Full Text
-
Sehgal, A., and K. Chakrabarty. “Test planning for the effective utilization of port-scalable testers for heterogeneous core-based SOCs.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad 2005 (December 1, 2005): 88–93. https://doi.org/10.1109/ICCAD.2005.1560045.Full Text
-
Su, F., K. Chakrabarty, and V. K. Pamula. “Yield enhancement of digital microfluidics-based biochips using space redundancy and local reconfiguration.” Proceedings Design, Automation and Test in Europe, Date ’05 II (December 1, 2005): 1196–1201. https://doi.org/10.1109/DATE.2005.331.Full Text
-
Su, F., W. Hwang, A. Mukherjee, and K. Chakrabarty. “Defect-oriented testing and diagnosis of digital microfluidics-based biochips.” Proceedings International Test Conference 2005 (December 1, 2005): 487–96. https://doi.org/10.1109/TEST.2005.1584009.Full Text
-
Su, F., and K. Chakrabarty. “Design of fault-tolerant and dynamically-reconfigurable microfluidic biochips.” Proceedings Design, Automation and Test in Europe, Date ’05 II (December 1, 2005): 1202–7. https://doi.org/10.1109/DATE.2005.115.Full Text
-
Wang, Z., and K. Chakrabarty. “Using built-in self-test and adaptive recovery for defect tolerance in molecular electronics-based nanofabrics.” Proceedings International Test Conference 2005 (December 1, 2005): 477–86. https://doi.org/10.1109/TEST.2005.1584008.Full Text
-
Wang, Z., and K. Chakrabarty. “Test data compression for IP embedded cores using selective encoding of scan slices.” Proceedings International Test Conference 2005 (December 1, 2005): 581–90. https://doi.org/10.1109/TEST.2005.1584019.Full Text
-
Wang, Z., and K. Chakrabarty. “Built-in self-test of molecular electronics-based nanofabrics.” Proceedings of the 10th Ieee European Test Symposium, Ets 2005 2005 (December 1, 2005): 168–73. https://doi.org/10.1109/ETS.2005.10.Full Text
-
Li, L., K. Chakrabarty, S. Kajihara, and S. Swaminathan. “Three-stage compression approach to reduce test data volume and testing time for IP cores in SOCs.” Iee Proceedings: Computers and Digital Techniques 152, no. 6 (November 1, 2005): 704–12. https://doi.org/10.1049/ip-cdt:20045150.Full Text
-
Sabbineni, H., K. Chakrabarty, X. Ji, H. Zha, D. Lee, P. Varaiya, R. Sengupta, E. Onur, C. Ersoy, and H. Deliç. “Sensor Deployment, Self-Organization, and Localization,” October 7, 2005, 11–90. https://doi.org/10.1002/9780471784173.ch2.Full Text
-
Chakrabarty, K., and J. Zeng. “Design Automation for Microfluidics-Based Biochips.” Acm Journal on Emerging Technologies in Computing Systems 1, no. 3 (October 1, 2005): 186–223. https://doi.org/10.1145/1116696.1116698.Full Text
-
Chakrabarty, Kaushik, Sagnik Bhattacharyya, Rita Christopher, and Sumant Khanna. “Glutamatergic dysfunction in OCD.” Neuropsychopharmacology : Official Publication of the American College of Neuropsychopharmacology 30, no. 9 (September 2005): 1735–40. https://doi.org/10.1038/sj.npp.1300733.Full Text
-
Chakrabarty, Kaveri, and M. Fahim. “Modulation of the contractile responses of guinea pig isolated tracheal rings after chronic intermittent hypobaric hypoxia with and without cold exposure.” Journal of Applied Physiology (Bethesda, Md. : 1985) 99, no. 3 (September 2005): 1006–11. https://doi.org/10.1152/japplphysiol.01304.2004.Full Text
-
Sen, Amitava, Dilip Mahalanabis, Sanjib Mukhopadhyay, Kamalendu Chakrabarty, Arun K. Singh, Samiran Bisai, Monilal Chakrabarty, Debasis Halder, and Mohammad Aminul Islam. “Routine use of antimicrobials by pregnant Indian women does not improve birth outcome: a randomized controlled trial.” Journal of Health, Population, and Nutrition 23, no. 3 (September 2005): 236–44.
-
Liu, C., and K. Chakrabarty. “Design and analysis of compact dictionaries for diagnosis in scan-BIST.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 13, no. 8 (August 1, 2005): 979–84. https://doi.org/10.1109/TVLSI.2005.853624.Full Text
-
Su, F., S. Ozev, and K. Chakrabarty. “Ensuring the operational health of droplet-based microelectrofluidic biosensor systems.” Ieee Sensors Journal 5, no. 4 (August 1, 2005): 763–72. https://doi.org/10.1109/JSEN.2005.848127.Full Text
-
Wu, Wen Xuan, Turhan Coksaygan, Kaushik Chakrabarty, Valta Collins, James C. Rose, and Peter W. Nathanielsz. “Sufficient progesterone-priming prior to estradiol stimulation is required for optimal induction of the cervical prostaglandin system in pregnant sheep at 0.7 gestations.” Biology of Reproduction 73, no. 2 (August 2005): 343–50. https://doi.org/10.1095/biolreprod.104.037820.Full Text
-
Zou, Y., and K. Chakrabarty. “A distributed coverage- and connectivity-centric technique for selecting active nodes in wireless sensor networks.” Ieee Transactions on Computers 54, no. 8 (August 1, 2005): 978–91. https://doi.org/10.1109/TC.2005.123.Full Text
-
Ranganathan, N., V. D. Agrawal, S. T. Chakradhar, K. Chakrabarty, B. Courtois, R. DeMara, X. S. Hu, et al. “Appointments for 2005-2006 term.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 13, no. 7 (July 1, 2005): 773–82. https://doi.org/10.1109/TVLSI.2005.854285.Full Text
-
Tehranipoor, M., M. Nourani, and K. Chakrabarty. “Nine-coded compression technique for testing embedded cores in SoCs.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 13, no. 6 (June 1, 2005): 719–30. https://doi.org/10.1109/TVLSI.2005.844311.Full Text
-
Chakrabarty, K. “Low-cost modular testing and test resource partitioning for SOCs.” Iee Proceedings: Computers and Digital Techniques 152, no. 3 (May 1, 2005): 427–41. https://doi.org/10.1049/ip-cdt:20045068.Full Text
-
AboElFotoh, H. M. F., S. S. Iyengar, and K. Chakrabarty. “Computing reliability and message delay for cooperative wireless distributed sensor networks subject to random failures.” Ieee Transactions on Reliability 54, no. 1 (March 1, 2005): 145–55. https://doi.org/10.1109/TR.2004.842540.Full Text
-
Chakrabarty, K., V. Iyengar, and M. D. Krasniewski. “Test Planning for modular testing of hierarchical SOCs.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 24, no. 3 (March 1, 2005): 435–47. https://doi.org/10.1109/TCAD.2004.842816.Full Text
-
Wu, W. X., R. Wolf, K. Chakrabarty, V. Collins, N. Unno, P. W. Nathanielsz, and J. C. Rose. “Induction of uterine prostaglandin H synthase 2 by estradiol following fetal adrenalectomy.” Endocrine 26, no. 2 (March 2005): 153–59. https://doi.org/10.1385/endo:26:2:153.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Pruning-Based, Energy-Optimal, Deterministic I/O Device Scheduling for Hard Real-Time Systems.” Acm Transactions on Embedded Computing Systems 4, no. 1 (February 1, 2005): 141–67. https://doi.org/10.1145/1053271.1053277.Full Text
-
Chakrabarty, K., and F. Su. “System-level design automation tools for digital microfluidic biochips.” Codes+Isss 2005 International Conference on Hardware/Software Codesign and System Synthesis, January 1, 2005, 201–6. https://doi.org/10.1145/1084834.1084887.Full Text
-
Doi, Y., S. Kajihara, X. Wen, L. Li, and K. Chakrabarty. “Test compression for scan circuits using scan polarity adjustment and pinpoint test relaxation.” Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac 1 (January 1, 2005): 59–64. https://doi.org/10.1145/1120725.1120744.Full Text
-
Gupta, I. S., D. R. Chowdhury, and K. Chakrabarty. “Proceedings - 14th Asian Test Symposium ATS 2005: Foreword.” Proceedings of the Asian Test Symposium 2005 (January 1, 2005): 15. https://doi.org/10.1109/ATS.2005.63.Full Text
-
Paik, P. Y., V. K. Pamula, M. G. Pollack, and K. Chakrabarty. “Coplanar digital microfluidics using standard printed circuit board processes.” Micro Total Analysis Systems Proceedings of Microtas 2005 Conference: 9th International Conference on Miniaturized Systems for Chemistry and Life Sciences 1 (January 1, 2005): 566–68.
-
Sabbineni, H., and K. Chakrabarty. “Location-aided flooding: An energy-efficient data dissemination protocol for wireless sensor networks.” Ieee Transactions on Computers 54, no. 1 (January 1, 2005): 36–46. https://doi.org/10.1109/TC.2005.8.Full Text
-
Sehgal, A., A. Dubey, E. J. Marinissen, C. Wouters, H. Vranken, and K. Chakrabarty. “Redundancy modelling and array yield analysis for repairable embedded memories.” Iee Proceedings: Computers and Digital Techniques 152, no. 1 (January 1, 2005): 97–106. https://doi.org/10.1049/ip-cdt:20045018.Full Text
-
Su, F., and K. Chakrabarty. “Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips.” Proceedings Design Automation Conference, January 1, 2005, 825–30. https://doi.org/10.1145/1065579.1065797.Full Text
-
Xu, Q., N. Nicolici, and K. Chakrabarty. “Multi-frequency wrapper design and optimization for embedded cores under average power constraints.” Proceedings Design Automation Conference, January 1, 2005, 123–28. https://doi.org/10.1109/dac.2005.193785.Full Text
-
Zou, Y., and K. Chakrabarty. “Fault-tolerant self-organization in sensor networks.” Lecture Notes in Computer Science 3560 (January 1, 2005): 191–205. https://doi.org/10.1007/11502593_16.Full Text
-
Goessel, M., K. Chakrabarty, V. Ocheretnij, and A. Leininger. “A signature analysis technique for the identification of failing vectors with application to scan-BIST.” Journal of Electronic Testing: Theory and Applications (Jetta) 20, no. 6 (December 1, 2004): 611–22. https://doi.org/10.1007/s10677-004-4249-x.Full Text
-
Li, L., and K. Chakrabarty. “On using exponential-golomb codes and subexponential codes for system-on-a-chip test data compression.” Journal of Electronic Testing: Theory and Applications (Jetta) 20, no. 6 (December 1, 2004): 667–70. https://doi.org/10.1007/s10677-004-4254-0.Full Text
-
Sehgal, A., S. K. Goel, E. J. Marinissen, and K. Chakrabarty. “IEEE P1500-compliant test wrapper design for hierarchical cores.” Proceedings International Test Conference, December 1, 2004, 1203–12.
-
Sehgal, A., V. Iyengar, and K. Chakrabarty. “SOC test planning using virtual test access architectures.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 12, no. 12 (December 1, 2004): 1263–76. https://doi.org/10.1109/TVLSI.2004.834228.Full Text
-
Su, F., S. Ozev, and K. Chakrabarty. “Concurrent testing of droplet-based microfluidic systems for multiplexed biomedical assays.” Proceedings International Test Conference, December 1, 2004, 883–92.
-
Su, F., S. Ozev, and K. Chakrabarty. “Test planning and test resource optimization for droplet-based microfluidic systems.” Proceedings Ninth Ieee European Test Symposium, Ets 2004, December 1, 2004, 72–77.
-
Su, F., and K. Chakrabarty. “Architectural-level synthesis of digital microfluidics-based biochips.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, December 1, 2004, 223–28.
-
Liu, C., and K. Chakrabarty. “Identification of error-capturing scan cells in scan-BIST with applications to system-on-chip.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 23, no. 10 (October 1, 2004): 1447–59. https://doi.org/10.1109/TCAD.2004.833620.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Network flow techniques for dynamic voltage scaling in hard real-time systems.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 23, no. 10 (October 1, 2004): 1385–98. https://doi.org/10.1109/TCAD.2004.833621.Full Text
-
Liu, C., K. N. Dwarakanath, K. Chakrabarty, and R. D. Blanton. “Compact dictionaries for diagnosis of unmodeled faults in scan-BIST.” Proceedings Ieee Computer Society Annual Symposium on Vlsi: Emerging Trends in Vlsi Systems Design, September 24, 2004, 173–78.
-
Paik, P., V. K. Pamula, and K. Chakrabarty. “Thermal effects on droplet transport in digitial microfluidics with applications to chip cooling.” Thermomechanical Phenomena in Electronic Systems Proceedings of the Intersociety Conference 1 (September 20, 2004): 649–54.
-
Li, L., and K. Chakrabarty. “Test set embedding for deterministic BIST using a reconfigurable interconnection network.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 23, no. 9 (September 1, 2004): 1289–1305. https://doi.org/10.1109/TCAD.2004.831593.Full Text
-
Mondal, Nityagopal, Kuheli Chakrabarty, Sukhendu Roy, and Gourab Kanti Das. “Substituent effect on the stability of TS of Type-I ene cyclization: a theoretical study.” Journal of Molecular Structure: Theochem 684, no. 1–3 (September 2004): 187–95. https://doi.org/10.1016/j.theochem.2004.07.017.Full Text
-
Sabbineni, H., and K. Chakrabarty. “A survey of energy-efficient self-organization and data dissemination protocols for ad hoc sensor networks.” Sensor Letters 2, no. 3–4 (September 1, 2004): 194–204. https://doi.org/10.1166/sl.2004.055.Full Text
-
Sehgal, A., and K. Chakrabarty. “Efficient modular testing of SOCs using dual-speed TAM architectures.” Proceedings Design, Automation and Test in Europe Conference and Exhibition 1 (July 12, 2004): 422–27. https://doi.org/10.1109/DATE.2004.1268883.Full Text
-
Tehranipour, M., M. Nourani, and K. Chakrabarty. “Nine-coded compression technique with application to reduced pin-count testing and flexible on-chip decompression.” Proceedings Design, Automation and Test in Europe Conference and Exhibition 2 (July 12, 2004): 1284–89. https://doi.org/10.1109/DATE.2004.1269072.Full Text
-
Zhang, Y., and K. Chakrabarty. “Task feasibility analysis and dynamic voltage scaling in fault-tolerant real-time embedded systems.” Proceedings Design, Automation and Test in Europe Conference and Exhibition 2 (July 12, 2004): 1170–75.
-
Bajard, J. -. C., and L. Imbert. “a full RNS implementation of RSA.” Ieee Transactions on Computers 53, no. 6 (June 2004): 769–74. https://doi.org/10.1109/tc.2004.2.Full Text
-
CHAKRABARTY, K. A. N. K. A. N. A. “NOTION OF FUZZY IC-BAGS.” International Journal of Uncertainty, Fuzziness and Knowledge Based Systems 12, no. 03 (June 2004): 327–45. https://doi.org/10.1142/s0218488504002850.Full Text
-
Liu, C., and K. Chakrabarty. “Compact dictionaries for fault diagnosis in scan-BIST.” Ieee Transactions on Computers 53, no. 6 (June 1, 2004): 775–80. https://doi.org/10.1109/TC.2004.4.Full Text
-
Wu, Q., N. S. V. Rao, J. Barhen, S. Sitharama Iyengar, V. K. Vaishnavi, H. Qi, and K. Chakrabarty. “On computing mobile agent routes for data fusion in distributed sensor networks.” Ieee Transactions on Knowledge and Data Engineering 16, no. 6 (June 1, 2004): 740–53. https://doi.org/10.1109/TKDE.2004.12.Full Text
-
Zhang, T., K. Chakrabarty, and R. B. Fair. “Behavioral modeling and performance evaluation of microelectrofluidics- based PCR systems using systemC.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 23, no. 6 (June 1, 2004): 843–58. https://doi.org/10.1109/TCAD.2004.828115.Full Text
-
Zhang, Y., and K. Chakrabarty. “Dynamic Adaptation for Fault Tolerance and Power Management in Embedded Real-Time Systems.” Acm Transactions on Embedded Computing Systems 3, no. 2 (May 1, 2004): 336–60. https://doi.org/10.1145/993396.993402.Full Text
-
Chandra, A., and K. Chakrabarty. “Analysis of test application time for test data compression methods based on compression codes.” Journal of Electronic Testing: Theory and Applications (Jetta) 20, no. 2 (April 1, 2004): 199–212. https://doi.org/10.1023/B:JETT.0000023682.41142.44.Full Text
-
CHAKRABARTY, K. A. N. K. A. N. A. “DECISION ANALYSIS USING IC-BAGS.” International Journal of Information Technology & Decision Making 03, no. 01 (March 2004): 101–8. https://doi.org/10.1142/s0219622004000994.Full Text
-
Wu, Wen Xuan, Xiao Hong Ma, Turhan Coksaygan, Kaushik Chakrabarty, Valta Collins, James Rose, and Peter W. Nathanielsz. “Prostaglandin mediates premature delivery in pregnant sheep induced by estradiol at 121 days of gestational age.” Endocrinology 145, no. 3 (March 2004): 1444–52. https://doi.org/10.1210/en.2003-1142.Full Text
-
Zou, Y., and K. Chakrabarty. “Sensor Deployment and Target Localization in Distributed Sensor Networks.” Acm Transactions on Embedded Computing Systems 3, no. 1 (February 1, 2004): 61–91. https://doi.org/10.1145/972627.972631.Full Text
-
Zhang, Y., R. Dick, and K. Chakrabarty. “Energy-aware deterministic fault tolerance in distributed real-time embedded systems.” Proceedings Design Automation Conference, January 1, 2004, 550–55. https://doi.org/10.1145/996566.996719.Full Text
-
Zou, Y., and K. Chakrabarty. “Uncertainty-aware and coverage-oriented deployment for sensor networks.” Journal of Parallel and Distributed Computing 64, no. 7 (January 1, 2004): 788–98. https://doi.org/10.1016/j.jpdc.2004.03.019.Full Text
-
Zhang, Y., K. Chakrabarty, and V. Swaminathan. “Energy-Aware Fault Tolerance in Fixed-Priority Real-Time Embedded Systems.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, December 26, 2003, 209–13.
-
Kajihara, S., Y. Doi, L. Li, and K. Chakrabarty. “On combining pinpoint test set relaxation and run-length codes for reducing test data volume.” Proceedings Ieee International Conference on Computer Design: Vlsi in Computers and Processors, December 2, 2003, 387–92.
-
Iyengar, V., K. Chakrabarty, and E. J. Marinissen. “Test Access Mechanism Optimization Test Scheduling, and Tester Data Volume Reduction for System-on-Chip.” Ieee Transactions on Computers 52, no. 12 (December 1, 2003): 1619–32. https://doi.org/10.1109/TC.2003.1252857.Full Text
-
Zou, Y., and K. Chakrabarty. “Uncertainty-Aware Sensor Deployment Algorithms for Surveillance Applications.” Conference Record / Ieee Global Telecommunications Conference 5 (December 1, 2003): 2972–76.
-
Zou, Y., and K. Chakrabarty. “Energy-aware target localization in wireless sensor networks.” Proceedings of the 1st Ieee International Conference on Pervasive Computing and Communications, Percom 2003, December 1, 2003, 60–67.
-
Li, L., and K. Chakrabarty. “Deterministic BIST Based on a Reconfigurable Interconnection Network.” Ieee International Test Conference (Tc), November 6, 2003, 460–69.
-
Su, F., S. Ozev, and K. Chakrabarty. “Testing of Droplet-Based Microelectrofluidic Systems.” Ieee International Test Conference (Tc), November 6, 2003, 1192–1200.
-
Chakrabarty, K., and M. Seuring. “Space compaction of test responses using orthogonal transmission functions.” Ieee Transactions on Instrumentation and Measurement 52, no. 5 (October 1, 2003): 1353–62. https://doi.org/10.1109/TIM.2003.818542.Full Text
-
Das, S. R., M. Sudarma, M. H. Assaf, E. M. Petriu, W. B. Jone, K. Chakrabarty, and M. Şhinoǧlu. “Parity bit signature in response data compaction and built-in self-testing of VLSI circuits with nonexhaustive test sets.” Ieee Transactions on Instrumentation and Measurement 52, no. 5 (October 1, 2003): 1363–80. https://doi.org/10.1109/TIM.2003.818547.Full Text
-
Li, L., K. Chakrabarty, and N. A. Touba. “Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices.” Acm Transactions on Design Automation of Electronic Systems 8, no. 4 (October 1, 2003): 470–90. https://doi.org/10.1145/944027.944032.Full Text
-
Zou, Y., and K. Chakrabarty. “Sensor deployment and target localization based on virtual forces.” Proceedings Ieee Infocom 2 (August 29, 2003): 1293–1303.
-
Chandra, A., and K. Chakrabarty. “Test data compression and test resource partitioning for system-on-a-chip using frequency-directed run-length (FDR) codes.” Ieee Transactions on Computers 52, no. 8 (August 1, 2003): 1076–88. https://doi.org/10.1109/TC.2003.1223641.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Energy-conscious, deterministic I/O device scheduling in hard real-time systems.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 22, no. 7 (July 1, 2003): 847–58. https://doi.org/10.1109/TCAD.2003.814245.Full Text
-
Wu, W. X., X. H. Ma, Q. Zhang, K. Chakrabarty, and P. W. Nathanielsz. “Characterization of two labor-induced genes, DSCR1 and TCTE1L, in the pregnant ovine myometrium.” The Journal of Endocrinology 178, no. 1 (July 2003): 117–26. https://doi.org/10.1677/joe.0.1780117.Full Text
-
Iyengar, V., K. Chakrabarty, and E. J. Marinissen. “Efficient test access mechanism optimization for system-on-chip.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 22, no. 5 (May 1, 2003): 635–43. https://doi.org/10.1109/TCAD.2003.810737.Full Text
-
Liu, C., and K. Chakrabarty. “Failing vector identification based on overlapping intervals of test vectors in a scan-BIST environment.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 22, no. 5 (May 1, 2003): 593–604. https://doi.org/10.1109/TCAD.2003.810739.Full Text
-
Chakrabarty, K. “A synthesis-for-transparency approach for hierarchical and system-on-a-chip test.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 11, no. 2 (April 1, 2003): 167–79. https://doi.org/10.1109/TVLSI.2003.810784.Full Text
-
Lee, Jaehyeong, Kaustuv Chakrabarty, and Junsin Yi. “Photoluminescence and morphological studies of porous silicon.” Applied Surface Science 211, no. 1–4 (April 2003): 373–78. https://doi.org/10.1016/s0169-4332(03)00305-2.Full Text
-
Chandra, A., and K. Chakrabarty. “A unified approach to reduce SOC test data volume, scan power and testing time.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 22, no. 3 (March 1, 2003): 352–62. https://doi.org/10.1109/TCAD.2002.807895.Full Text
-
Oliver, L. D., K. Chakrabarty, and R. R. Brooks. “Locomotion-based dynamic power management in embedded real-time systems.” Proceedings of Spie the International Society for Optical Engineering 5205 (January 1, 2003): 185–96. https://doi.org/10.1117/12.508695.Full Text
-
Pamula, V. K., and K. Chakrabarty. “Cooling of integrated circuits using droplet-based microfluidics.” Proceedings of the Ieee Great Lakes Symposium on Vlsi, January 1, 2003, 84–87. https://doi.org/10.1145/764825.764831.Full Text
-
Sehgal, A., S. Ozev, and K. Chakrabarty. “TAM Optimization for Mixed-Signal SOCs using Analog Test Wrappers.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, January 1, 2003, 95–99. https://doi.org/10.1109/iccad.2003.159676.Full Text
-
Sehgal, A., V. Iyengar, M. D. Krasniewski, and K. Chakrabarty. “Test cost reduction for SOCs using virtual TAMs and lagrange multipliers.” Proceedings Design Automation Conference, January 1, 2003, 738–43. https://doi.org/10.1145/776019.776021.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, January 1, 2003, 21–25. https://doi.org/10.1109/iccad.2003.159665.Full Text
-
Zou, Y., and K. Chakrabarty. “Target localization based on energy considerations in distributed sensor networks.” Ad Hoc Networks 1, no. 2–3 (January 1, 2003): 261–72. https://doi.org/10.1016/S1570-8705(03)00006-4.Full Text
-
Marinissen, E. J., V. Iyengar, and K. Chakrabarty. “A set of benchmarks for modular testing of SOCs.” Ieee International Test Conference (Tc), December 17, 2002, 519–28. https://doi.org/10.1109/TEST.2002.1041802.Full Text
-
Chakrabarty, K., S. S. Iyengar, H. Qi, and E. Cho. “Grid coverage for surveillance and target location in distributed sensor networks.” Ieee Transactions on Computers 51, no. 12 (December 1, 2002): 1448–53. https://doi.org/10.1109/TC.2002.1146711.Full Text
-
Zhang, T., K. Chakrabarty, and R. B. Fair. “System performance evaluation with systemC for two PCR microelectrofluidic systems.” 2002 International Conference on Modeling and Simulation of Microsystems Msm 2002, December 1, 2002, 48–53.
-
Zhang, T., K. Chakrabarty, and R. B. Fair. “Integrated hierarchical design of microelectrofluidic systems using SystemC.” 2002 International Conference on Modeling and Simulation of Microsystems Msm 2002, December 1, 2002, 144–49.
-
Bhattacharya, B. B., A. Dmitriev, M. Gössel, and K. Chakrabarty. “Synthesis of single-output space compactors for scan-based sequential circuits.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 21, no. 10 (October 1, 2002): 1171–79. https://doi.org/10.1109/TCAD.2002.802275.Full Text
-
Chakrabarty, K., and E. J. Marinissen. “How useful are the ITC 02 SoC test benchmarks?” Ieee Design and Test of Computers 19, no. 5 (September 1, 2002).
-
Iyengar, V., and K. Chakrabarty. “System-on-a-chip test scheduling with precedence relationships, preemption, and power constraints.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 21, no. 9 (September 1, 2002): 1088–94. https://doi.org/10.1109/TCAD.2002.801102.Full Text
-
Chakrabarty, K. “Guest editorial.” Journal of Electronic Testing: Theory and Applications (Jetta) 18, no. 4–5 (August 1, 2002): 363. https://doi.org/10.1023/A:1016588005189.Full Text
-
Zhang, T., K. Chakrabarty, and R. B. Fair. “Design of reconfigurable composite microsystems based on hardware/software codesign principles.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 21, no. 8 (August 1, 2002): 987–95. https://doi.org/10.1109/TCAD.2002.800455.Full Text
-
Chandra, A., and K. Chakrabarty. “Test data compression and decompression based on internal scan chains and Golomb coding.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 21, no. 6 (June 1, 2002): 715–22. https://doi.org/10.1109/TCAD.2002.1004315.Full Text
-
Zhang, T., K. Chakrabarty, and R. B. Fair. “Integrated hierarchical design of microelectrofluidic systems using SystemC.” Microelectronics Journal 33, no. 5–6 (May 6, 2002): 459–70. https://doi.org/10.1016/S0026-2692(01)00157-4.Full Text
-
Chandra, A., and K. Chakrabarty. “Low-power scan testing and test data compression for system-on-a-chip.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 21, no. 5 (May 1, 2002): 597–604. https://doi.org/10.1109/43.998630.Full Text
-
Iyengar, V., and K. Chakrabarty. “Test bus sizing for system-on-a-chip.” Ieee Transactions on Computers 51, no. 5 (May 1, 2002): 449–59. https://doi.org/10.1109/TC.2002.1004585.Full Text
-
Iyengar, V., K. Chakrabarty, and E. J. Marinissen. “Test wrapper and test access mechanism co-optimization for system-on-chip.” Journal of Electronic Testing: Theory and Applications (Jetta) 18, no. 2 (April 1, 2002): 213–30. https://doi.org/10.1023/A:1014916913577.Full Text
-
Das, S. R., J. Y. Liang, E. M. Petriu, M. H. Assaf, W. B. Jone, and K. Chakrabarty. “Data compression in space under generalized mergeability based on concepts of cover table and frequency ordering.” Ieee Transactions on Instrumentation and Measurement 51, no. 1 (February 1, 2002): 150–72. https://doi.org/10.1109/19.989919.Full Text
-
Chandra, A., and K. Chakrabarty. “Reduction of SOC test data volume, scan power and testing time using alternating run-length codes.” Proceedings Design Automation Conference, January 1, 2002, 673–78. https://doi.org/10.1145/513918.514090.Full Text
-
Iyengar, V., K. Chakrabarty, and E. J. Marinissen. “Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs.” Proceedings Design Automation Conference, January 1, 2002, 685–90. https://doi.org/10.1109/DAC.2002.1012712.Full Text
-
Iyengar, V., S. K. Goel, E. J. Marinissen, and K. Chakrabarty. “Test resource optimization for multi-site testing of SOCs under ATE memory depth constraints.” Ieee International Test Conference (Tc), January 1, 2002, 1159–68.
-
Qi, H., X. Wang, S. Sitharama Iyengar, and K. Chakrabarty. “High performance sensor integration in distributed sensor networks using mobile agents.” International Journal of High Performance Computing Applications 16, no. 3 (January 1, 2002): 325–35. https://doi.org/10.1177/10943420020160031101.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Pruning-based energy-optimal device scheduling for hard real-time systems.” Hardware/Software Codesign Proceedings of the International Workshop, January 1, 2002, 175–80. https://doi.org/10.1145/774789.774825.Full Text
-
Ding, J., K. Chakrabarty, and R. B. Fair. “Scheduling of microfluidic operations for reconfigurable two-dimensional electrowetting arrays.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 20, no. 12 (December 1, 2001): 1463–68. https://doi.org/10.1109/43.969439.Full Text
-
Ding, J., K. Chakrabarty, and R. B. Fair. “Reconfigurable microfluidic system architecture based on two-dimensional electrowetting arrays.” 2001 International Conference on Modeling and Simulation of Microsystems Msm 2001, December 1, 2001, 181–85.
-
Swaminathan, S., and K. Chakrabarty. “On using twisted-ring counters for test set embedding in BIST.” Journal of Electronic Testing: Theory and Applications (Jetta) 17, no. 6 (December 1, 2001): 529–42. https://doi.org/10.1023/A:1012872706123.Full Text
-
Zhang, T., K. Chakrabarty, and R. B. Fair. “Design of reconfigurable composite microsystems based on hardware/software co-design principles 1.” 2001 International Conference on Modeling and Simulation of Microsystems Msm 2001, December 1, 2001, 148–52.
-
Iyengar, V., H. Date, M. Sugihara, and K. Chakrabarty. “Hierarchical intellectual property protection using partially-mergeable cores.” Ieice Transactions on Fundamentals of Electronics Communications and Computer Sciences E84A, no. 11 (November 1, 2001): 2632–38.Link to Item
-
Chandra, A., and K. Chakrabarty. “Test resource partitioning for SOCs.” Ieee Design and Test of Computers 18, no. 5 (September 1, 2001): 80–91. https://doi.org/10.1109/54.953275.Full Text
-
Iyengar, S. S., K. Chakrabarty, and H. Qi. “Introduction to special issue on "distributed sensor networks for real-time systems with adaptive configuration": Editorial.” Journal of the Franklin Institute 338, no. 6 (September 1, 2001): 651–53. https://doi.org/10.1016/S0016-0032(01)00027-8.Full Text
-
Sarbadhikari, S. N., and K. Chakrabarty. “Chaos in the brain: a short review alluding to epilepsy, depression, exercise and lateralization.” Medical Engineering & Physics 23, no. 7 (September 2001): 445–55. https://doi.org/10.1016/s1350-4533(01)00075-3.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Real-time task scheduling for energy-aware embedded systems.” Journal of the Franklin Institute 338, no. 6 (September 1, 2001): 729–50. https://doi.org/10.1016/S0016-0032(01)00021-7.Full Text
-
Qi, H., S. S. Iyengar, and K. Chakrabarty. “Multiresolution data integration using mobile agents in distributed sensor networks.” Ieee Transactions on Systems, Man and Cybernetics Part C: Applications and Reviews 31, no. 3 (August 1, 2001): 383–91. https://doi.org/10.1109/5326.971666.Full Text
-
Zhang, T. H., F. Cao, A. M. Dewey, R. B. Fair, and K. Chakrabarty. “Performance analysis of microelectrofluidic systems using hierarchical modeling and simulation (vol 48, pg 482, 2001).” Ieee Transactions on Circuits and Systems Ii Analog and Digital Signal Processing 48, no. 7 (July 1, 2001): 749–749.Link to Item
-
Zhang, T., F. Cao, A. M. Dewey, R. B. Fair, and K. Chakrabarty. “Performance analysis of microelectrofluidic systems using hierarchical modeling and simulation.” Ieee Transactions on Circuits and Systems Ii: Analog and Digital Signal Processing 48, no. 5 (May 1, 2001): 482–91. https://doi.org/10.1109/82.938358.Full Text
-
Achyuthan, A. M., S. Sezate, K. Chakrabarty, W. Cao, and J. F. McGinnis. “Regulatory elements of the mouse recoverin gene.” Investigative Ophthalmology & Visual Science 42, no. 4 (March 15, 2001): S353–S353.Link to Item
-
Chandra, A., and K. Chakrabarty. “System-on-a-chip test-data compression and decompression architectures based on Golomb codes.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 20, no. 3 (March 1, 2001): 355–68. https://doi.org/10.1109/43.913754.Full Text
-
Chakrabarty, K. “Optimal test access architectures for system-on-a-chip.” Acm Transactions on Design Automation of Electronic Systems 6, no. 1 (January 1, 2001): 26–49. https://doi.org/10.1145/371254.371258.Full Text
-
Chakrabarty, K., R. Mukherjee, and A. Exnicios. “Synthesis of transparent circuits for hierarchical and system-on-a-chip test.” Proceedings of the Ieee International Conference on Vlsi Design, January 1, 2001, 431–36. https://doi.org/10.1109/ICVD.2001.902696.Full Text
-
Chakrabarty, K., and S. S. Iyengar. “Sensor placement in distributed sensor networks using a coding theory framework.” Ieee International Symposium on Information Theory Proceedings, January 1, 2001, 157. https://doi.org/10.1109/ISIT.2001.936020.Full Text
-
Chandra, A., K. Chakrabarty, and M. C. Hansen. “Efficient test application for core-based systems using twisted-ring counters.” Vlsi Design 12, no. 4 (January 1, 2001): 475–86. https://doi.org/10.1155/2001/75139.Full Text
-
Chandra, A., K. Chakrabarty, and S. R. Das. “On using twisted-ring counters for testing embedded cores in system-on-a-chip designs.” Conference Record Ieee Instrumentation and Measurement Technology Conference 1 (January 1, 2001): 216–20.
-
Chandra, A., and K. Chakrabarty. “Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2001, 42–47.
-
Chandra, A., and K. Chakrabarty. “Combining low-power scan testing and test data compression for system-on-a-chip.” Proceedings Design Automation Conference, January 1, 2001, 166–69. https://doi.org/10.1145/378239.378396.Full Text
-
Das, S. R., M. H. Assaf, E. M. Petriu, W. B. Jone, and K. Chakrabarty. “A novel approach to designing aliasing-free space compactors based on switching theory formulation.” Conference Record Ieee Instrumentation and Measurement Technology Conference 1 (January 1, 2001): 198–203. https://doi.org/10.1109/IMTC.2001.928812.Full Text
-
Iyengar, V., H. Date, M. Sugihara, and K. Chakrabarty. “Hierarchical intellectual property protection using partially-mergeable cores.” Ieice Transactions on Fundamentals of Electronics, Communications and Computer Sciences E84-A, no. 11 (January 1, 2001): 2632–38.
-
Iyengar, V., K. Chakrabarty, and E. Jan Marinissen. “Test wrapper and test access mechanism co-optimization for system-on-chip.” Ieee International Test Conference (Tc), January 1, 2001, 1023–32. https://doi.org/10.1109/TEST.2001.966728.Full Text
-
Iyengar, V., and K. Chakrabarty. “Precedence-based, preemptive, and power-constrained test scheduling for system-on-a-chip.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2001, 368–74.
-
Morosov, A., K. Chakrabarty, M. Gössel, and B. Bhattacharya. “Design of parameterizable error-propagating space compactors for response observation.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2001, 48–53.
-
Qi, H., S. S. Iyengar, and K. Chakrabarty. “Distributed sensor networks - A review of recent research.” Journal of the Franklin Institute 338, no. 6 (January 1, 2001): 655–68. https://doi.org/10.1016/S0016-0032(01)00026-6.Full Text
-
Qi, H., S. S. Iyengar, and K. Chakrabarty. “Distributed multi-resolution data integration using mobile agents.” Ieee Aerospace Conference Proceedings 3 (January 1, 2001): 31133–41. https://doi.org/10.1109/AERO.2001.931343.Full Text
-
Swaminathan, S., and K. Chakrabarty. “A deterministic scan-BIST architecture with application to field testing of high-availability systems.” Proceedings of the Custom Integrated Circuits Conference, January 1, 2001, 259–62. https://doi.org/10.1109/CICC.2001.929768.Full Text
-
Swaminathan, V., K. Chakrabarty, and S. S. Iyengar. “Dynamic I/O power management for hard real-time systems.” Hardware/Software Codesign Proceedings of the International Workshop, January 1, 2001, 237–42. https://doi.org/10.1145/371636.371742.Full Text
-
Zhang, T., F. Cao, A. M. Dewey, R. B. Fair, and K. Chakrabarty. “Corrections to “Performance Analysis of Microelectrofluidic Systems Using Hierarchical Modeling and Simulation”.” Ieee Transactions on Circuits and Systems Ii: Analog and Digital Signal Processing 48, no. 7 (January 1, 2001): 749. https://doi.org/10.1109/TCSII.2001.958347.Full Text
-
Sarkar, M., P. Gangopadhyay, B. Basak, K. Chakrabarty, J. Banerji, P. Adhikary, and A. Chatterjee. “The reversible antifertility effect of Piper betle Linn. on Swiss albino male mice.” Contraception 62, no. 5 (November 2000): 271–74. https://doi.org/10.1016/s0010-7824(00)00177-3.Full Text
-
Chakrabarty, K. “Test scheduling for core-based systems using mixed-integer linear programming.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 19, no. 10 (October 1, 2000): 1163–74. https://doi.org/10.1109/43.875306.Full Text
-
Chakrabarty, K., B. T. Murray, and V. Iyengar. “Deterministic built-in test pattern generation for high-performance circuits using twisted-ring counters.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 8, no. 5 (October 1, 2000): 633–36. https://doi.org/10.1109/92.894170.Full Text
-
Chakrabarty, K., and S. R. Das. “Test-set embedding based on width compression for mixed-mode BIST.” Ieee Transactions on Instrumentation and Measurement 49, no. 3 (June 1, 2000): 671–78. https://doi.org/10.1109/19.850413.Full Text
-
Das, S. R., T. F. Barakat, E. M. Petriu, M. H. Assaf, and K. Chakrabarty. “Space compression revisited.” Ieee Transactions on Instrumentation and Measurement 49, no. 3 (June 1, 2000): 690–705. https://doi.org/10.1109/19.850416.Full Text
-
Chakrabarty, Kankana, Ranjit Biswas, and Sudarsan Nanda. “Fuzziness in rough sets.” Fuzzy Sets and Systems 110, no. 2 (March 2000): 247–51. https://doi.org/10.1016/s0165-0114(97)00414-4.Full Text
-
CHAKRABARTY, K. “Test scheduling for core-based systems using mixed-integer linear programming.” Ieee Trans. Comput. Aided Des. Integr. Circuits Syst. 19, no. 10 (2000): 1163–74.
-
Chakrabarty, K. “Design of system-on-a-chip test access architectures using integer linear programming.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2000, 127–34.
-
Chakrabarty, K. “Design of system-on-a-chip test access architectures under place-and-route and power constraints.” Proceedings Design Automation Conference, January 1, 2000, 432–37. https://doi.org/10.1145/337292.337531.Full Text
-
Chakrabarty, K., and S. Swaminathan. “Built-in self testing of high-performance circuits using twisted-ring counters.” Proceedings Ieee International Symposium on Circuits and Systems 1 (January 1, 2000): I-72-I–75. https://doi.org/10.1109/ISCAS.2000.857029.Full Text
-
Chandra, A., and K. Chakrabarty. “Test data compression for system-on-a-chip using Golomb codes.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2000, 113–20.
-
Das, S. R., J. Liang, E. M. Petriu, W. B. Jone, and K. Chakrabarty. “Data compression in space under generalized mergeability based on concepts of cover table and frequency ordering.” Conference Record Ieee Instrumentation and Measurement Technology Conference 1 (January 1, 2000): 217–22.
-
Seuring, M., and K. Chakrabarty. “Space compaction of test responses for IP cores using orthogonal transmission functions.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 2000, 213–19.
-
Chakrabarty, K. “Test scheduling for core-based systems.” Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, December 1, 1999, 391–94.
-
Chakrabarty, Kankana, Ranjit Biswas, and Sudarsan Nanda. “A note on fuzzy union and fuzzy intersection.” Fuzzy Sets and Systems 105, no. 3 (August 1999): 499–502. https://doi.org/10.1016/s0165-0114(97)00231-5.Full Text
-
Chakrabarty, Kankana, Ranjit Biswas, and Sudarsan Nanda. “Fuzzy L-structure.” Fuzzy Sets and Systems 103, no. 1 (April 1999): 177–82. https://doi.org/10.1016/s0165-0114(97)00176-0.Full Text
-
Roy, Atanu, Kuheli Chakrabarty, Pradeep K. Dutta, Narayan C. Bar, Nupur Basu, Basudeb Achari, and Sukhendu B. Mandal. “Chiral Carbocyclic Nucleosides fromd-Glucose: Enantiodivergent Synthesis and One-Pot Entry of Dimethylamino Functionality in the Purine Rings.” The Journal of Organic Chemistry 64, no. 7 (April 1999): 2304–9. https://doi.org/10.1021/jo981955r.Full Text
-
Bae, S. S., K. Chakrabarty, T. A. P. Seery, and R. A. Weiss. “Association behavior of poly(N-isopropylacrylamide) with perfluoroalkyl side chain.” Abstracts of Papers of the American Chemical Society 217 (March 21, 1999): U408–U408.Link to Item
-
Chakrabarty, Kankana, Ranjit Biswas, and Sudarsan Nanda. “Fuzzy shadows.” Fuzzy Sets and Systems 101, no. 3 (February 1999): 413–21. https://doi.org/10.1016/s0165-0114(97)00109-7.Full Text
-
Karpovsky, M. G., K. Chakrabarty, L. B. Levitin, and D. R. Avresky. “On the covering of vertices for fault diagnosis in hypercubes.” Information Processing Letters 69, no. 2 (January 29, 1999): 99–103. https://doi.org/10.1016/s0020-0190(98)00199-9.Full Text
-
Hammes, G. G. “Editorial.” Biochemistry 38, no. 1 (January 5, 1999): 1. https://doi.org/10.1021/bi9900018.Full Text Link to Item
-
Chakrabarty, K., B. T. Murray, and V. Iyengar. “Built-in test pattern generation for high-performance circuits using twisted-ring counters.” Proceedings of the Ieee Vlsi Test Symposium, January 1, 1999, 22–27.
-
Chakrabarty, K., and S. R. Das. “Test set embedding based on width compression for mixed-mode BIST.” Conference Record Ieee Instrumentation and Measurement Technology Conference 3 (January 1, 1999): 1778–83.
-
Chakrabarty, Kankana, Ranjit Biswas, and Sudarsan Nanda. “On Yager's Theory of Bags and Fuzzy Bags.” Comput. Artif. Intell. 18 (1999).
-
Das, S. R., T. F. Barakat, E. M. Petriu, M. H. Assaf, and K. Chakrabarty. “Space compression revisited.” Conference Record Ieee Instrumentation and Measurement Technology Conference 2 (January 1, 1999): 849–54.
-
Flores, P., H. Neto, K. Chakrabarty, and J. Marques-Silva. “Test pattern generation for width compression in BIST.” Proceedings Ieee International Symposium on Circuits and Systems 1 (January 1, 1999).
-
Iyengar, V., K. Chakrabarty, and B. T. Murray. “Deterministic built-in pattern generation for sequential circuits.” Journal of Electronic Testing: Theory and Applications (Jetta) 15, no. 1 (January 1, 1999): 97–114. https://doi.org/10.1023/a:1008384201996.Full Text
-
Banerjee, S., and K. Chakrabarty. “Nonlinear modeling and bifurcations in the boost converter.” Ieee Transactions on Power Electronics 13, no. 2 (December 1, 1998): 252–60. https://doi.org/10.1109/63.662832.Full Text
-
Chakrabarty, K., B. T. Murray, and J. P. Hayes. “Optimal zero-aliasing space compaction of test responses.” Ieee Transactions on Computers 47, no. 11 (December 1, 1998): 1171–87. https://doi.org/10.1109/12.736427.Full Text
-
Chakrabarty, K., and B. T. Murray. “Design of built-in test generator circuits using width compression.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 17, no. 10 (December 1, 1998): 1044–51. https://doi.org/10.1109/43.728923.Full Text
-
Iyengar, V., K. Chakrabarty, and B. T. Murray. “Built-in self testing of sequential circuits using precomputed test sets.” Proceedings of the Ieee Vlsi Test Symposium, December 1, 1998, 418–23.
-
Iyengar, V., K. Chakrabarty, and B. T. Murray. “Huffman encoding of test sets for sequential circuits.” Ieee Transactions on Instrumentation and Measurement 47, no. 1 (December 1, 1998): 21–25. https://doi.org/10.1109/19.728782.Full Text
-
Poddar, G., K. Chakrabarty, and S. Banerjee. “Control of chaos in DC-DC converters.” Ieee Transactions on Circuits and Systems I: Fundamental Theory and Applications 45, no. 6 (December 1, 1998): 672–76. https://doi.org/10.1109/81.678489.Full Text
-
Chakrabarty, K., R. A. Weiss, A. Sehgal, and T. A. P. Seery. “Characterization of Ionomer Solutions. 2. Dynamic Light Scattering Studies on Sulfonated Polystyrene Ionomers in a Nonpolar Solvent.” Macromolecules 31, no. 21 (October 1998): 7390–97. https://doi.org/10.1021/ma980604b.Full Text
-
Chakrabarty, K., T. A. P. Seery, and R. A. Weiss. “Characterization of Ionomer Solutions. 1. Phase Behavior and Gelation of Sulfonated Polystyrene Ionomers in Decalin.” Macromolecules 31, no. 21 (October 1998): 7385–89. https://doi.org/10.1021/ma980603j.Full Text
-
Chakrabarty, Kankana, Ranjit Biswas, and Sudarsan Nanda. “On fuzzy metric spaces.” Fuzzy Sets and Systems 99, no. 1 (October 1998): 111–14. https://doi.org/10.1016/s0165-0114(97)00037-7.Full Text
-
Chakrabarty, K. “Zero-aliasing space compaction using linear compactors with bounded overhead.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 17, no. 5 (May 1, 1998): 452–57. https://doi.org/10.1109/43.703941.Full Text
-
Chakrabarty, K. “Design of optimal linear space compactors for built-in self test.” Conference Record Ieee Instrumentation and Measurement Technology Conference 1 (January 1, 1998): 413–18.
-
Chakrabarty, K., and J. P. Hayes. “Zero-aliasing space compaction of test responses using multiple parity signatures.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 6, no. 2 (January 1, 1998): 309–13. https://doi.org/10.1109/92.678893.Full Text
-
Chakrabarty, K., and J. P. Rayes. “Balanced Boolean functions.” Iee Proceedings: Computers and Digital Techniques 145, no. 1 (January 1, 1998): 52–62. https://doi.org/10.1049/ip-cdt:19981769.Full Text
-
Karpovsky, M. G., K. Chakrabarty, and L. B. Levitin. “On a new class of codes for identifying vertices in graphs.” Ieee Transactions on Information Theory 44, no. 2 (January 1, 1998): 599–611. https://doi.org/10.1109/18.661507.Full Text
-
Iyengar, V., and K. Chakrabarty. “An efficient finite-state machine implementation of Huffman decoders.” Information Processing Letters 64, no. 6 (December 29, 1997): 271–75. https://doi.org/10.1016/s0020-0190(97)00176-2.Full Text
-
Chakrabarty, K., B. T. Murray, J. Liu, and M. Zhu. “Test width compression for built-in self testing.” Ieee International Test Conference (Tc), December 1, 1997, 328–37.
-
Chakrabarty, K., and J. P. Hayes. “On the quality of accumulator-based compaction of test responses.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 16, no. 8 (December 1, 1997): 916–22. https://doi.org/10.1109/43.644618.Full Text
-
Mahajan, A. S., K. Chakrabarty, T. K. Mishra, and A. S. Chakrabarty. “Nociception, antinociceptive potency of morphine in streptozotocin induced diabetic rats.” Indian Journal of Physiology and Pharmacology 41, no. 4 (October 1997): 416–20.
-
Mahajan, A. S., T. K. Mishra, K. Chakrabarty, and A. S. Chakrabarty. “Immobilisation stress induced analgesia in diabetic rats.” Indian Journal of Physiology and Pharmacology 41, no. 3 (July 1997): 275–79.
-
Iyengar, V., K. Chakrabarty, and B. T. Murray. “Test set encoding for efficient sequential circuit testing.” Conference Record Ieee Instrumentation and Measurement Technology Conference 2 (January 1, 1997): 1442–47. https://doi.org/10.1109/IMTC.1997.612438.Full Text
-
Chakrabarty, K., G. Poddar, and S. Banerjee. “Bifurcation behavior of the buck converter.” Ieee Transactions on Power Electronics 11, no. 3 (December 1, 1996): 439–47. https://doi.org/10.1109/63.491637.Full Text
-
Chakrabarty, K., and J. P. Hayes. “Test response compaction using multiplexed parity trees.” Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems 15, no. 11 (December 1, 1996): 1399–1408. https://doi.org/10.1109/43.543772.Full Text
-
Chakrabarty, K., R. A. Weiss, A. Sehgal, and T. A. P. Seery. “Ionomer solutions in non-polar solvents: Study of phase behavior, and sulfonic acid group aggregation using dynamic light scattering.” Abstracts of Papers of the American Chemical Society 212 (August 25, 1996): 17-PMSE.Link to Item
-
Chakrabarty, K., and S. N. Singh. “Depletion layer resistance and its effect on I-V characteristics of fully- and partially-illuminated silicon solar cells.” Solid State Electronics 39, no. 4 (April 1996): 577–81. https://doi.org/10.1016/0038-1101(96)00179-7.Full Text
-
Chakrabarty, K., and J. P. Hayes. “Balance testing and balance-testable design of logic circuits.” Journal of Electronic Testing: Theory and Applications (Jetta) 8, no. 1 (January 1, 1996): 71–86. https://doi.org/10.1007/BF00136077.Full Text
-
Chakrabarty, K., B. T. Murray, and J. P. Hayes. “Optimal space compaction of test responses.” Ieee International Test Conference (Tc), December 1, 1995, 834–43.
-
Krishna, B., M. E. Hussain, A. S. Chakrabarty, A. K. Jain, K. Chakrabarty, and M. Fahim. “Hypotensive effect of intracerebroventricular injection of norepinephrine and its modulation by alpha and beta adrenergic blockers in conscious rabbits.” Indian Journal of Physiology and Pharmacology 39, no. 4 (October 1995): 361–68.
-
Poddar, G., K. Chakrabarty, and S. Banerjee. “Control of chaosin the boost converter.” Electronics Letters 31, no. 11 (May 25, 1995): 841–42. https://doi.org/10.1049/el:19950580.Full Text
-
Chakrabarty, K., and S. Banerjee. “Control of chaos in piecewise linear systems with switching nonlinearity.” Physics Letters A 200, no. 2 (April 17, 1995): 115–20. https://doi.org/10.1016/0375-9601(95)00137-R.Full Text
-
CHAKRABARTY, K., and R. A. WEISS. “PHASE-BEHAVIOR OF IONOMER SOLUTIONS USING NONPOLAR-SOLVENTS.” Abstracts of Papers of the American Chemical Society 209 (April 2, 1995): 68-PMSE.Link to Item
-
CHAKRABARTY, K. “TRIBAL ISSUES - A NONCONVENTIONAL APPROACH - MAHANTI,N.” Indian Journal of Social Work 56, no. 1 (January 1995): 113–14.Link to Item
-
Chakrabarty, K., and J. P. Hayes. “Cumulative Balance Testing of Logic Circuits.” Ieee Transactions on Very Large Scale Integration (Vlsi) Systems 3, no. 1 (January 1, 1995): 72–83. https://doi.org/10.1109/92.365455.Full Text
-
Poddar, G., K. Chakrabarty, and S. Banerjee. “Experimental Control of Chaotic Behavior of Buck Converter.” Ieee Transactions on Circuits and Systems I: Fundamental Theory and Applications 42, no. 8 (January 1, 1995): 502–4. https://doi.org/10.1109/81.404067.Full Text
-
Chakrabarty, K., and J. P. Hayes. “DFBT: a design-for-testability method based on balance testing.” Proceedings Design Automation Conference, December 1, 1994, 351–57.
-
CHAKRABARTY, K., H. M. CHAWLA, and V. V. SURESH. “CERIUM(IV) INDUCED OXIDATIVE COUPLING OF SIMPLE PHENOLS IN THE PRESENCE AND ABSENCE OF HYDROGEN-PEROXIDE - A COMPARATIVE-STUDY OF PRODUCT DISTRIBUTION.” Indian Journal of Chemistry Section B Organic Chemistry Including Medicinal Chemistry 32, no. 2 (February 1993): 266–74.Link to Item
-
CHAKRABARTY, K., H. M. CHAWLA, and V. V. SURESH. “REACTION OF CERIUM(IV) AMMONIUM-NITRATE WITH SIMPLE PHENOLS IN A SILICA-GEL MATRIX.” Indian Journal of Chemistry Section B Organic Chemistry Including Medicinal Chemistry 31, no. 7 (July 1992): 464–66.Link to Item
-
Roychoudhury, S., K. Chakrabarty, Y. K. Ho, and A. M. Chakrabarty. “Characterization of guanosine diphospho-D-mannose dehydrogenase from Pseudomonas aeruginosa. Structural analysis by limited proteolysis.” The Journal of Biological Chemistry 267, no. 2 (January 1992): 990–96.
-
BURTON, R. M., J. D. FORSYTH, and B. OBEL. “ORGANIZATIONAL RESPONSES TO THE NEW BUSINESS CONDITIONS - AN EMPIRICAL PERSPECTIVE - PREFACE.” Technovation 8, no. 1–3 (1988): R7–R7. https://doi.org/10.1016/0166-4972(88)90049-1.Full Text Link to Item
-
Bhattacharjee, Sukanta, Ansuman Banerjee, Tsung-Yi Ho, Krishnendu Chakrabarty, and Bhargab B. Bhattacharya. “Algorithms for Producing Linear Dilution Gradient with Digital Microfluidics,” n.d.Link to Item
-
-
Book Sections
-
Wille, R., K. Chakrabarty, R. Drechsler, and P. Kalla. “Emerging circuit technologies: An overview on the next generation of circuits.” In Advanced Logic Synthesis, 43–67, 2017. https://doi.org/10.1007/978-3-319-67295-3_3.Full Text
-
Yang, C., H. Li, and Y. Chen. “Nanoscale memory architectures for neuromorphic computing.” In Security Opportunities in Nano Devices and Emerging Technologies, 215–34, 2017. https://doi.org/10.1201/9781315265056.Full Text
-
Raik, J., I. O’Connor, T. Hollstein, and K. Chakrabarty. “Foreword,” 2016. https://doi.org/10.1109/VLSI-SoC.2016.7753411.Full Text
-
Ibrahim, M., Z. Li, and K. Chakrabarty. “Advances in design automation techniques for digital-microfluidic biochips.” In Formal Modeling and Verification of Cyber-Physical Systems: 1st International Summer School on Methods and Tools for the Design of Digital Systems, Bremen, Germany, September 2015, 190–223, 2015. https://doi.org/10.1007/978-3-658-09994-7_7.Full Text
-
Chakrabarty, K., Y. Luo, and K. Hu. “Adaptive and reconfiguration-based errorrecovery in cyberphysical biochips.” In Handbook of Bioelectronics: Directly Interfacing Electronics and Biological Systems, 469–88, 2015. https://doi.org/10.1017/CBO9781139629539.045.Full Text
-
Shafik, R. A., B. M. Al-Hashimi, and K. Chakrabarty. “System-level design methodology.” In Energy-Efficient Fault-Tolerant Systems, 9781461441939:169–210, 2014. https://doi.org/10.1007/978-1-4614-4193-9_5.Full Text
-
Chakrabarty, K. “Efficient modular testing and test resource partitioning for core-based SoCs.” In System-on-Chip: Next Generation Electronics, 751–90, 2006. https://doi.org/10.1049/PBCS018E_ch22.Full Text
-
Chakrabarty, K. “Modular testing and built-in self-test of embedded cores in system-on-chip integrated circuits.” In Embedded Systems: Handbook, 2005. https://doi.org/10.1201/9781420038163.Full Text
-
Zou, Y., and K. Chakrabarty. “Advances in target tracking and active surveillance using wireless sensor networks.” In Handbook on Theoretical and Algorithmic Aspects of Sensor, Ad Hoc Wireless, and Peer-to-Peer Networks, 475–90, 2005. https://doi.org/10.1201/9780203323687.Full Text
-
Swaminathan, V., Y. Zou, and K. Chakrabarty. “Techniques to reduce communication and computation energy in wireless sensor networks.” In Handbook of Sensor Networks: Compact Wireless and Wired Sensing Systems, 2004. https://doi.org/10.1201/9780203489635.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Operating system power management.” In Distributed Sensor Networks, 667–96, 2004.
-
Zou, Y., and K. Chakrabarty. “Coverage-oriented sensor deployment.” In Distributed Sensor Networks, 453–81, 2004.
-
-
Reports
-
Liu, M., L. Xia, Y. Wang, and K. Chakrabarty. “Fault Tolerance for RRAM-Based Matrix Operations,” January 23, 2019. https://doi.org/10.1109/TEST.2018.8624687.Full Text Open Access Copy
-
Moradi, Y., M. Ibrahim, K. Chakrabarty, and U. Schlichtmann. “Fault-tolerant valve-based microfluidic routing fabric for droplet barcoding in single-cell analysis,” April 19, 2018. https://doi.org/10.23919/DATE.2018.8342247.Full Text Open Access Copy
-
Chakrabarty, K., Tung-Che Liang, Mohammed Shayan, and Ramesh Karri. “Execution of Provably Secure Assays on MEDA Biochips to Thwart Attacks (Submitted),” 2018.Open Access Copy
-
-
Conference Papers
-
Kundu, S., D. Chatterjee, and K. Chakrabarty. “Bifurcation Control of Single Phase PWM Semi-Converter Fed DC Series Motor Drive.” In 2020 Ieee International Conference for Convergence in Engineering, Icce 2020 Proceedings, 100–104, 2020. https://doi.org/10.1109/ICCE50343.2020.9290706.Full Text
-
Adhikary, S., D. Chatterjee, and K. Chakrabarty. “Control of Bifurcation in a PWM Controlled dc Series Motor Drive.” In 2020 Ieee Calcutta Conference, Calcon 2020 Proceedings, 263–67, 2020. https://doi.org/10.1109/CALCON49167.2020.9106562.Full Text
-
Patra, A., K. Chakrabarty, and T. Nag. “Control of chaos in BLDC motor drive.” In Proceedings of 2018 Ieee Applied Signal Processing Conference, Aspcon 2018, 356–59, 2018. https://doi.org/10.1109/ASPCON.2018.8748536.Full Text
-
Jin, S., Z. Zhang, K. Chakrabarty, and X. Gu. “Failure prediction based on anomaly detection for complex core routers.” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, 2018. https://doi.org/10.1145/3240765.3243476.Full Text
-
Shayan, M., S. Bhattacharjee, T. C. Liang, J. Tang, K. Chakrabarty, and R. Karri. “Shadow attacks on MEDA biochips.” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, 2018. https://doi.org/10.1145/3240765.3240846.Full Text
-
Das, S., K. Basu, J. R. Doppa, P. P. Pande, R. Karri, and K. Chakrabarty. “Abetting Planned Obsolescence by Aging 3D Networks-on-Chip.” In 2018 12th Ieee/Acm International Symposium on Networks on Chip, Nocs 2018, 2018. https://doi.org/10.1109/NOCS.2018.8512162.Full Text
-
Sharma, S., K. Chakrabarty, and S. Roy. “On designing all-optical multipliers using Mach-zender interferometers.” In Proceedings 21st Euromicro Conference on Digital System Design, Dsd 2018, 672–79, 2018. https://doi.org/10.1109/DSD.2018.00113.Full Text
-
Shalu, Ajay, S. Kumar, A. Singla, S. Roy, K. Chakrabarty, P. P. Chakrabarti, and B. B. Bhattacharya. “Demand-driven single- and multitarget mixture preparation using digital microfluidic biochips.” In Acm Transactions on Design Automation of Electronic Systems, Vol. 23, 2018. https://doi.org/10.1145/3200903.Full Text
-
Liu, M., L. Xia, Y. Wang, and K. Chakrabarty. “Design of fault-Tolerant neuromorphic computing systems.” In Proceedings of the European Test Workshop, 2018-May:1–9, 2018. https://doi.org/10.1109/ETS.2018.8400693.Full Text
-
Chakrabarty, K., L. C. Wang, G. Veda, and Y. Huang. “Special session on machine learning for test and diagnosis.” In Proceedings of the Ieee Vlsi Test Symposium, Vol. 2018-April, 2018. https://doi.org/10.1109/VTS.2018.8368658.Full Text
-
Zhong, Z., G. Li, Q. Yang, J. Qian, and K. Chakrabarty. “Broadcast-based minimization of the overall access time for the IEEE 1687 network.” In Proceedings of the Ieee Vlsi Test Symposium, 2018-April:1–6, 2018. https://doi.org/10.1109/VTS.2018.8368640.Full Text
-
Mondal, S., and K. Chakrabarty. “Pre-Assembly testing of interconnects in embedded multi-die interconnect bridge (EMIB) dies.” In Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, Date 2018, 2018-January:373–78, 2018. https://doi.org/10.23919/DATE.2018.8342038.Full Text
-
Kundu, S., D. Chatterjee, and K. Chakrabarty. “Effect of smoothing reactor on the performance of a PWM chopper fed Dc motor drive.” In 2017 Ieee Calcutta Conference, Calcon 2017 Proceedings, 2018-January:134–39, 2018. https://doi.org/10.1109/CALCON.2017.8280711.Full Text
-
Tang, J., M. Ibrahim, K. Chakrabarty, and R. Karri. “Security implications of cyberphysical flow-based microfluidic biochips.” In Proceedings of the Asian Test Symposium, 110–15, 2018. https://doi.org/10.1109/ATS.2017.32.Full Text
-
Elnaggar, R., K. Chakrabarty, and M. B. Tahoori. “Run-time hardware trojan detection using performance counters.” In Proceedings International Test Conference, 2017-December:1–10, 2017. https://doi.org/10.1109/TEST.2017.8242063.Full Text
-
Jin, S., Z. Zhang, K. Chakrabarty, and X. Gu. “Changepoint-based anomaly detection in a core router system.” In Proceedings International Test Conference, 2017-December:1–10, 2017. https://doi.org/10.1109/TEST.2017.8242043.Full Text
-
Jin, S., Z. Zhang, K. Chakrabarty, and X. Gu. “Symbol-based health-status analysis in a core router system.” In Proceedings International Test Conference, 2017-December:1–10, 2017. https://doi.org/10.1109/TEST.2017.8242044.Full Text
-
Zhang, Y., K. Chakrabarty, H. Li, and J. Jiang. “Software-based online self-testing of network-on-chip using bounded model checking.” In Proceedings International Test Conference, 2017-December:1–10, 2017. https://doi.org/10.1109/TEST.2017.8242037.Full Text
-
Chang, K., A. Koneru, K. Chakrabarty, and S. K. Lim. “Design automation and testing of monolithic 3D ICs: Opportunities, challenges, and solutions: (Invited paper).” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, 2017-November:805–10, 2017. https://doi.org/10.1109/ICCAD.2017.8203860.Full Text
-
Ibrahim, M., A. Sridhar, K. Chakrabarty, and U. Schlichtmann. “Sortex: Efficient timing-driven synthesis of reconfigurable flow-based biochips for scalable single-cell screening.” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, 2017-November:623–30, 2017. https://doi.org/10.1109/ICCAD.2017.8203835.Full Text
-
Zhong, Z., Z. Li, and K. Chakrabarty. “Adaptive error recovery in MEDA biochips based on droplet-aliquot operations and predictive analysis.” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, 2017-November:615–22, 2017. https://doi.org/10.1109/ICCAD.2017.8203834.Full Text
-
Das, S., J. R. Doppa, P. P. Pande, and K. Chakrabarty. “Monolithic 3D-enabled high performance and energy efficient network-on-chip.” In Proceedings 35th Ieee International Conference on Computer Design, Iccd 2017, 233–40, 2017. https://doi.org/10.1109/ICCD.2017.43.Full Text
-
Koneru, A., S. Kannan, and K. Chakrabarty. “A design-for-test solution for monolithic 3D integrated circuits.” In Proceedings 35th Ieee International Conference on Computer Design, Iccd 2017, 685–88, 2017. https://doi.org/10.1109/ICCD.2017.119.Full Text
-
Tang, J., M. Ibrahim, K. Chakrabarty, and R. Karri. “Security trade-offs in microfluidic routing fabrics.” In Proceedings 35th Ieee International Conference on Computer Design, Iccd 2017, 25–32, 2017. https://doi.org/10.1109/ICCD.2017.13.Full Text
-
Pasricha, S., J. R. Doppa, K. Chakrabarty, S. Tiku, D. Dauwe, S. Jin, and P. P. Pande. “Special session paper: Data analytics enables energy-efficiency and robustness: From mobile to manycores, datacenters, and networks.” In 2017 International Conference on Hardware/Software Codesign and System Synthesis, Codes+Isss 2017, 2017. https://doi.org/10.1145/3125502.3125560.Full Text
-
Elfar, M., Z. Zhong, Z. Li, K. Chakrabarty, and M. Pajic. “Synthesis of error-recovery protocols for micro-electrode-dot-array digital microfluidic biochips.” In Acm Transactions on Embedded Computing Systems, Vol. 16, 2017. https://doi.org/10.1145/3126538.Full Text
-
Li, Z., K. Y. T. Lai, K. Chakrabarty, T. Y. Ho, and C. Y. Lee. “Sample Preparation on Micro-Electrode-Dot-Array Digital Microfluidic Biochips.” In Proceedings of Ieee Computer Society Annual Symposium on Vlsi, Isvlsi, 2017-July:146–51, 2017. https://doi.org/10.1109/ISVLSI.2017.34.Full Text
-
Xia, L., M. Liu, X. Ning, K. Chakrabarty, and Y. Wang. “Fault-Tolerant Training with On-Line Fault Detection for RRAM-Based Neural Computing Systems.” In Proceedings Design Automation Conference, Vol. Part 128280, 2017. https://doi.org/10.1145/3061639.3062248.Full Text
-
Li, Z., J. E. Colburn, V. Pagalone, K. Narayanun, and K. Chakrabarty. “Test-cost optimization in a scan-compression architecture using support-vector regression.” In Proceedings of the Ieee Vlsi Test Symposium, 2017. https://doi.org/10.1109/VTS.2017.7928956.Full Text
-
Das, S., J. R. Doppa, P. P. Pande, and K. Chakrabarty. “Robust TSV-based 3D NoC design to counteract electromigration and crosstalk noise.” In Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017, 1366–71, 2017. https://doi.org/10.23919/DATE.2017.7927205.Full Text
-
Huhn, S., S. Eggersglus, K. Chakrabarty, and R. Drechsler. “Optimization of retargeting for IEEE 1149.1 TAP controllers with embedded compression.” In Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017, 578–83, 2017. https://doi.org/10.23919/DATE.2017.7927053.Full Text
-
Ibrahim, M., K. Chakrabarty, and U. Schlichtmann. “CoSyn: Efficient single-cell analysis using a hybrid microfluidic platform.” In Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017, 1673–78, 2017. https://doi.org/10.23919/DATE.2017.7927263.Full Text Open Access Copy
-
Ibrahim, M., and K. Chakrabarty. “Digital-microfluidic biochips for quantitative analysis: Bridging the Gap between microfluidics and microbiology.” In Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017, 1787–92, 2017. https://doi.org/10.23919/DATE.2017.7927282.Full Text
-
Liu, C., B. Li, B. B. Bhattacharya, K. Chakrabarty, T. Y. Ho, and U. Schlichtmann. “Testing microfluidic Fully Programmable Valve Arrays (FPVAs).” In Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017, 91–96, 2017. https://doi.org/10.23919/DATE.2017.7926964.Full Text
-
Huangfu, W., L. Xia, M. Cheng, X. Yin, T. Tang, B. Li, K. Chakrabarty, Y. Xie, Y. Wang, and H. Yang. “Computation-oriented fault-tolerance schemes for RRAM computing systems.” In Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, 794–99, 2017. https://doi.org/10.1109/ASPDAC.2017.7858421.Full Text
-
Keszocze, O., Z. Li, A. Grimmer, R. Wille, K. Chakrabarty, and R. Drechsler. “Exact routing for micro-electrode-dot-array digital microfluidic biochips.” In Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, 708–13, 2017. https://doi.org/10.1109/ASPDAC.2017.7858407.Full Text
-
Vijayan, A., S. Kiamehr, F. Oboril, K. Chakrabarty, and M. B. Tahoori. “Workload-aware static aging monitoring of timing-critical flip-flops.” In Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, 176–81, 2017. https://doi.org/10.1109/ASPDAC.2017.7858316.Full Text
-
Koneru, A., S. Kannan, and K. Chakrabarty. “Impact of wafer-bonding defects on Monolithic 3D integrated circuits.” In 2016 Ieee 25th Conference on Electrical Performance of Electronic Packaging and Systems, Epeps 2016, 91–93, 2017. https://doi.org/10.1109/EPEPS.2016.7835425.Full Text
-
Wang, R., and K. Chakrabarty. “Testing of Interposer-Based 2.5D Integrated Circuits: Challenges and Solutions.” In Proceedings of the Asian Test Symposium, 74–79, 2016. https://doi.org/10.1109/ATS.2016.50.Full Text
-
Wang, S., R. Wang, K. Chakrabarty, and M. B. Tahoori. “Multicast Test Architecture and Test Scheduling for Interposer-Based 2.5D ICs.” In Proceedings of the Asian Test Symposium, 86–91, 2016. https://doi.org/10.1109/ATS.2016.42.Full Text
-
Das, S., J. R. Doppa, P. P. Pande, and K. Chakrabarty. “Energy-efficient and reliable 3D network-on-chip (NoC): Architectures and optimization algorithms.” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, Vol. 07-10-November-2016, 2016. https://doi.org/10.1145/2966986.2980096.Full Text
-
Li, Z., K. Y. T. Lai, P. H. Yu, K. Chakrabarty, M. Pajic, T. Y. Ho, and C. Y. Lee. “Error recovery in a micro-electrode-dot-array digital microfluidic biochip?” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, Vol. 07-10-November-2016, 2016. https://doi.org/10.1145/2966986.2967035.Full Text
-
Wang, R., S. Deutsch, M. Agrawal, and K. Chakrabarty. “The hype, myths, and realities of testing 3D integrated circuits.” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, Vol. 07-10-November-2016, 2016. https://doi.org/10.1145/2966986.2980097.Full Text
-
Ibrahim, M., C. Boswell, K. Chakrabarty, K. Scott, and M. Pajic. “A real-time digital-microfluidic platform for epigenetics.” In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, Cases 2016, 2016. https://doi.org/10.1145/2968455.2968516.Full Text
-
Georgiou, P., F. Vartziotis, X. Kavousianos, and K. Chakrabarty. “Two-dimensional time-division multiplexing for 3D-SoCs.” In Proceedings of the European Test Workshop, Vol. 2016-July, 2016. https://doi.org/10.1109/ETS.2016.7519312.Full Text
-
Koneru, A., and K. Chakrabarty. “Analysis of electrostatic coupling in monolithic 3D integrated circuits and its impact on delay testing.” In Proceedings of the European Test Workshop, Vol. 2016-July, 2016. https://doi.org/10.1109/ETS.2016.7519292.Full Text
-
Wang, R., and K. Chakrabarty. “A design-for-test solution for monolithic 3D integrated circuits.” In Proceedings of the European Test Workshop, Vol. 2016-July, 2016. https://doi.org/10.1109/ETS.2016.7519311.Full Text
-
Jin, S., Z. Zhang, K. Chakrabarty, and X. Gu. “Accurate anomaly detection using correlation-based time-series analysis in a core router system.” In Proceedings International Test Conference, Vol. 0, 2016. https://doi.org/10.1109/TEST.2016.7805836.Full Text
-
Kadam, G., M. Rudack, K. Chakrabarty, and J. Alt. “Supply-voltage optimization to account for process variations in high-volume manufacturing testing.” In Proceedings International Test Conference, Vol. 0, 2016. https://doi.org/10.1109/TEST.2016.7805846.Full Text
-
Li, T., L. Jiang, X. Liang, Q. Xu, and K. Chakrabarty. “Defect tolerance for CNFET-based SRAMs.” In Proceedings International Test Conference, Vol. 0, 2016. https://doi.org/10.1109/TEST.2016.7805833.Full Text
-
Li, Z., K. Y. T. Lai, P. H. Yu, K. Chakrabarty, T. Y. Ho, and C. Y. Lee. “Built-in self-Test for micro-electrode-dot-Array digital microfluidic biochips.” In Proceedings International Test Conference, Vol. 0, 2016. https://doi.org/10.1109/TEST.2016.7805847.Full Text
-
Tang, J., R. Karri, M. Ibrahim, and K. Chakrabarty. “Securing digital microfluidic biochips by randomizing checkpoints.” In Proceedings International Test Conference, Vol. 0, 2016. https://doi.org/10.1109/TEST.2016.7805856.Full Text
-
Wang, R., and K. Chakrabarty. “Testing of interposer-based 2.5D integrated circuits.” In Proceedings International Test Conference, Vol. 0, 2016. https://doi.org/10.1109/TEST.2016.7805875.Full Text
-
Xiang, D., K. Chakrabarty, and H. Fujiwara. “A unified test and fault-Tolerant multicast solution for network-on-chip designs.” In Proceedings International Test Conference, Vol. 0, 2016. https://doi.org/10.1109/TEST.2016.7805827.Full Text
-
Hu, K., B. B. Bhattacharya, and K. Chakrabarty. “Fault diagnosis for leakage and blockage defects in flow-based microfluidic biochips.” In Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, 35:1179–91, 2016. https://doi.org/10.1109/TCAD.2015.2488489.Full Text
-
Li, Z., K. Y. T. Lai, P. H. Yu, T. Y. Ho, K. Chakrabarty, and C. Y. Lee. “High-level synthesis for micro-electrode-dot-array digital microfluidic biochips.” In Proceedings Design Automation Conference, Vol. 05-09-June-2016, 2016. https://doi.org/10.1145/2897937.2898028.Full Text
-
Nuthakki, S. S., R. Karmakar, S. Chattopadhyay, and K. Chakrabarty. “Optimization of the IEEE 1687 access network for hybrid access schedules.” In Proceedings of the Ieee Vlsi Test Symposium, Vol. 2016-May, 2016. https://doi.org/10.1109/VTS.2016.7477309.Full Text
-
Vijayan, A., A. Koneru, M. Ebrahimit, K. Chakrabarty, and M. B. Tahoori. “Online soft-error vulnerability estimation for memory arrays.” In Proceedings of the Ieee Vlsi Test Symposium, Vol. 2016-May, 2016. https://doi.org/10.1109/VTS.2016.7477301.Full Text
-
Wang, R., B. Bhaskaran, K. Natarajan, A. Abdollahian, K. Narayanun, K. Chakrabarty, and A. Sanghani. “A programmable method for low-power scan shift in SoC integrated circuits.” In Proceedings of the Ieee Vlsi Test Symposium, Vol. 2016-May, 2016. https://doi.org/10.1109/VTS.2016.7477289.Full Text
-
Das, S., J. R. Doppa, P. P. Pande, and K. Chakrabarty. “Reliability and performance trade-offs for 3D NoC-enabled multicore chips.” In Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016, 1429–32, 2016.
-
Ibrahim, M., K. Chakrabarty, and K. Scott. “Integrated and real-time quantitative analysis using cyberphysical digital-microfluidic biochips.” In Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016, 630–35, 2016. https://doi.org/10.3850/9783981537079_0459.Full Text
-
Wang, R., Z. Li, S. Kannan, and K. Chakrabarty. “Pre-bond testing of the silicon interposer in 2.5D ICs.” In Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016, 978–83, 2016. https://doi.org/10.3850/9783981537079_0740.Full Text
-
Wang, S., M. B. Tahoori, and K. Chakrabarty. “Thermal-aware TSV repair for electromigration in 3D ICs.” In Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016, 1291–96, 2016.
-
Dasgupta, P., K. Chakrabarty, and P. P. Das. “Message from the Technical Program Co-Chairs.” In Proceedings of the Ieee International Conference on Vlsi Design, Vol. 2016-March, 2016. https://doi.org/10.1109/VLSID.2016.4.Full Text
-
Das, S., J. R. Doppa, D. H. Kim, P. P. Pande, and K. Chakrabarty. “Optimizing 3D NoC design for energy efficiency: A machine learning approach.” In 2015 Ieee/Acm International Conference on Computer Aided Design, Iccad 2015, 705–12, 2016. https://doi.org/10.1109/ICCAD.2015.7372639.Full Text
-
Keszocze, O., R. Wille, K. Chakrabarty, and R. Drechsler. “A general and exact routing methodology for Digital Microfluidic Biochips.” In 2015 Ieee/Acm International Conference on Computer Aided Design, Iccad 2015, 874–81, 2016. https://doi.org/10.1109/ICCAD.2015.7372663.Full Text
-
Koneru, A., A. Vijayan, K. Chakrabarty, and M. B. Tahoori. “Fine-grained aging prediction based on the monitoring of run-time stress using DFT infrastructure.” In 2015 Ieee/Acm International Conference on Computer Aided Design, Iccad 2015, 51–58, 2016. https://doi.org/10.1109/ICCAD.2015.7372549.Full Text
-
Wang, S., M. B. Tahoori, and K. Chakrabarty. “Defect clustering-aware spare-TSV allocation for 3D ICs.” In 2015 Ieee/Acm International Conference on Computer Aided Design, Iccad 2015, 307–14, 2016. https://doi.org/10.1109/ICCAD.2015.7372585.Full Text
-
Ali, S. S., M. Ibrahim, O. Sinanoglu, K. Chakrabarty, and R. Karri. “Microfluidic encryption of on-chip biochemical assays.” In Proceedings 2016 Ieee Biomedical Circuits and Systems Conference, Biocas 2016, 152–55, 2016. https://doi.org/10.1109/BioCAS.2016.7833754.Full Text
-
Ibrahim, M., and K. Chakrabarty. “Cyberphysical adaptation in digital-microfluidic biochips.” In Proceedings 2016 Ieee Biomedical Circuits and Systems Conference, Biocas 2016, 444–47, 2016. https://doi.org/10.1109/BioCAS.2016.7833827.Full Text
-
Ali, S. S., M. Ibrahim, O. Sinanoglu, K. Chakrabarty, and R. Karri. “Security implications of cyberphysical digital microfluidic biochips.” In Proceedings of the 33rd Ieee International Conference on Computer Design, Iccd 2015, 483–86, 2015. https://doi.org/10.1109/ICCD.2015.7357154.Full Text
-
Ho, T. Y., W. Grover, S. Hu, and K. Chakrabarty. “Cyber-physical integration in programmable microfluidic biochips.” In Proceedings of the 33rd Ieee International Conference on Computer Design, Iccd 2015, 224–27, 2015. https://doi.org/10.1109/ICCD.2015.7357107.Full Text
-
Hu, K., M. Ibrahim, L. Chen, Z. Li, K. Chakrabarty, and R. Fair. “Experimental demonstration of error recovery in an integrated cyberphysical digital-microfluidic platform.” In Ieee Biomedical Circuits and Systems Conference: Engineering for Healthy Minds and Able Bodies, Biocas 2015 Proceedings, 2015. https://doi.org/10.1109/BioCAS.2015.7348390.Full Text
-
Deutsch, S., and K. Chakrabarty. “Test and debug solutions for 3D-stacked integrated circuits.” In Proceedings International Test Conference, Vol. 2015-November, 2015. https://doi.org/10.1109/TEST.2015.7342421.Full Text
-
Deutsch, S., and K. Chakrabarty. “Contactless pre-bond TSV fault diagnosis using duty-cycle detectors and ring oscillators.” In Proceedings International Test Conference, Vol. 2015-November, 2015. https://doi.org/10.1109/TEST.2015.7342389.Full Text
-
Dinh, T. A., S. Yamashita, T. Y. Ho, and K. Chakrabarty. “A general testing method for digital microfluidic biochips under physical constraints.” In Proceedings International Test Conference, Vol. 2015-November, 2015. https://doi.org/10.1109/TEST.2015.7342403.Full Text
-
Li, Z., S. K. Goel, F. Lee, and K. Chakrabarty. “Efficient observation-point insertion for diagnosability enhancement in digital circuits.” In Proceedings International Test Conference, Vol. 2015-November, 2015. https://doi.org/10.1109/TEST.2015.7342380.Full Text
-
Vartziotis, F., X. Kavousianos, P. Georgiou, and K. Chakrabarty. “Test-access-mechanism optimization for multi-Vdd SoCs.” In Proceedings International Test Conference, Vol. 2015-November, 2015. https://doi.org/10.1109/TEST.2015.7342420.Full Text
-
Chakrabarty, K., and U. Kar. “Stabilization of unstable periodic orbits in DC drives.” In 2nd International Conference on Electrical Engineering and Information and Communication Technology, Iceeict 2015, 2015. https://doi.org/10.1109/ICEEICT.2015.7307356.Full Text
-
Tahoori, M. B., A. Chatterjee, K. Chakrabarty, A. Koneru, A. Vijayan, and D. Banerjee. “Self-awareness and self-learning for resiliency in real-time systems.” In Proceedings of the 21st Ieee International on Line Testing Symposium, Iolts 2015, 128–31, 2015. https://doi.org/10.1109/IOLTS.2015.7229845.Full Text
-
Vartziotis, F., X. Kavousianos, and K. Chakrabarty. “A branch-&-bound algorithm for TAM optimization in multi-Vdd SoCs.” In Proceedings 2015 20th Ieee European Test Symposium, Ets 2014, 2015. https://doi.org/10.1109/ETS.2015.7138746.Full Text
-
Ibrahim, M., and K. Chakrabarty. “Error recovery in digital microfluidics for personalized medicine.” In Proceedings Design, Automation and Test in Europe, Date, 2015-April:247–52, 2015. https://doi.org/10.7873/date.2015.1126.Full Text
-
Liao, C. H., C. H. P. Wen, and K. Chakrabarty. “An online thermal-constrained task scheduler for 3D multi-core processors.” In Proceedings Design, Automation and Test in Europe, Date, 2015-April:351–56, 2015. https://doi.org/10.7873/date.2015.0724.Full Text
-
Agrawal, M., K. Chakrabarty, and B. Eklow. “A distributed, reconfigurable, and reusable bist infrastructure for 3D-stacked ICs.” In Proceedings International Test Conference, Vol. 2015-February, 2015. https://doi.org/10.1109/TEST.2014.7035333.Full Text
-
Deutsch, S., and K. Chakrabarty. “Massive signal tracing using on-chip DRAM for in-system silicon debug.” In Proceedings International Test Conference, Vol. 2015-February, 2015. https://doi.org/10.1109/TEST.2014.7035363.Full Text
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. “Knowledge discovery and knowledge transfer in board-level functional fault diagnosis.” In Proceedings International Test Conference, Vol. 2015-February, 2015. https://doi.org/10.1109/TEST.2014.7035335.Full Text
-
Chakrabarty, K., B. B. Bhattacharya, and A. Banerjee. “Design automation for biochemistry synthesis on a digital microfluidic lab-on-a-chip.” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, 2015-January:286–88, 2015. https://doi.org/10.1109/ICCAD.2014.7001364.Full Text
-
Araci, I. E., P. Pop, and K. Chakrabarty. “Microfluidic very large-scale integration for biochips: Technology, testing and fault-tolerant design.” In Proceedings 2015 20th Ieee European Test Symposium, Ets 2014, 2015. https://doi.org/10.1109/ETS.2015.7138736.Full Text
-
Deutsch, S., and K. Chakrabarty. “Software-based test and diagnosis of SoCs using embedded and wide-I/O DRAM.” In 20th Asia and South Pacific Design Automation Conference, Asp Dac 2015, 532–37, 2015. https://doi.org/10.1109/ASPDAC.2015.7059061.Full Text
-
Dinh, T. A., S. Yamashita, T. Y. Ho, and K. Chakrabarty. “Testing of digital microfluidic biochips with arbitrary layouts.” In Proceedings 2015 20th Ieee European Test Symposium, Ets 2014, 2015. https://doi.org/10.1109/ETS.2015.7138729.Full Text
-
Firouzi, F., F. Ye, A. Vijayan, A. Koneru, K. Chakrabarty, and M. B. Tahoori. “Re-using BIST for circuit aging monitoring.” In Proceedings 2015 20th Ieee European Test Symposium, Ets 2014, 2015. https://doi.org/10.1109/ETS.2015.7138768.Full Text
-
Hu, K., B. B. Bhattacharya, and K. Chakrabarty. “Fault diagnosis for flow-based microfluidic biochips.” In Proceedings of the Ieee Vlsi Test Symposium, Vol. 2015-January, 2015. https://doi.org/10.1109/VTS.2015.7116245.Full Text
-
Li, Z., T. Y. Ho, and K. Chakrabarty. “Design and optimization of 3D digital microfluidic biochips for the polymerase chain reaction.” In 20th Asia and South Pacific Design Automation Conference, Asp Dac 2015, 184–89, 2015. https://doi.org/10.1109/ASPDAC.2015.7059002.Full Text
-
Luo, Y., B. B. Bhattacharya, T. Y. Ho, and K. Chakrabarty. “Design and optimization of a cyberphysical digital-microfluidic biochip for the polymerase chain reaction.” In Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, 34:29–42, 2015. https://doi.org/10.1109/TCAD.2014.2363396.Full Text
-
Wang, R., G. Li, R. Li, J. Qian, and K. Chakrabarty. “ExTest scheduling for 2.5D system-on-chip integrated circuits.” In Proceedings of the Ieee Vlsi Test Symposium, Vol. 2015-January, 2015. https://doi.org/10.1109/VTS.2015.7116266.Full Text
-
Xie, F., X. Liang, Q. Xu, K. Chakrabarty, N. Jing, and L. Jiang. “Jump test for metallic CNTs in CNFET-based SRAM.” In Proceedings Design Automation Conference, Vol. 2015-July, 2015. https://doi.org/10.1145/2744769.2744864.Full Text
-
Ye, F., K. Chakrabarty, Z. Zhang, and X. Gu. “Self-learning and adaptive board-level functional fault diagnosis.” In 20th Asia and South Pacific Design Automation Conference, Asp Dac 2015, 294–301, 2015. https://doi.org/10.1109/ASPDAC.2015.7059021.Full Text
-
Firouzi, F., F. Ye, K. Chakrabarty, and M. B. Tahoori. “Chip health monitoring using machine learning.” In Proceedings of Ieee Computer Society Annual Symposium on Vlsi, Isvlsi, 280–83, 2014. https://doi.org/10.1109/ISVLSI.2014.119.Full Text
-
Chakrabarty, Krishnendu, and Tsung-Yi Ho. “Tutorial T5: Microfluidic Biochips: Connecting VLSI and Embedded Systems to the Life Sciences.” In 2014 27th International Conference on Vlsi Design and 2014 13th International Conference on Embedded Systems. IEEE, 2014. https://doi.org/10.1109/vlsid.2014.120.Full Text
-
Firouzi, F., F. Ye, S. Kiamehr, K. Chakrabarty, and M. B. Tahoori. “Adaptive mitigation of parameter variations.” In Proceedings of the Asian Test Symposium, 51–56, 2014. https://doi.org/10.1109/ATS.2014.21.Full Text
-
Hu, K., T. A. Dinh, T. Y. Ho, and K. Chakrabarty. “Control-layer optimization for flow-based mVLSI microfluidic biochips.” In 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, Cases 2014, 2014. https://doi.org/10.1145/265606.2656118.Full Text
-
Li, H., and K. Chakrabarty. “Message from the ATS 2014 general co-chairs.” In Proceedings of the Asian Test Symposium, 2014. https://doi.org/10.1109/ATS.2014.5.Full Text
-
Li, Z., T. A. Dinh, T. Y. Ho, and K. Chakrabarty. “Reliability-driven pipelined scan-like testing of digital microfluidic biochips.” In Proceedings of the Asian Test Symposium, 57–62, 2014. https://doi.org/10.1109/ATS.2014.22.Full Text
-
Li, Z., T. Y. Ho, and K. Chakrabarty. “Optimization of heaters in a digital microfluidic biochip for the polymerase chain reaction.” In Therminic 2014 20th International Workshop on Thermal Investigations of Ics and Systems, Proceedings, 2014. https://doi.org/10.1109/THERMINIC.2014.6972500.Full Text
-
Roy, S., B. B. Bhattacharya, S. Ghoshal, and K. Chakrabarty. “An optimal two-mixer dilution engine with Digital microfluidics for low-power applications.” In Journal of Low Power Electronics, 10:506–18, 2014. https://doi.org/10.1166/jolpe.2014.1335.Full Text
-
Wang, R., K. Chakrabarty, and S. Bhawmik. “Built-in self-test for interposer-based 2.5D ICs.” In 2014 32nd Ieee International Conference on Computer Design, Iccd 2014, 181–88, 2014. https://doi.org/10.1109/ICCD.2014.6974679.Full Text
-
Wang, R., K. Chakrabarty, and B. Eklow. “Post-bond testing of the silicon interposer and micro-bumps in 2.5D ICs.” In Proceedings of the Asian Test Symposium, 147–52, 2013. https://doi.org/10.1109/ATS.2013.36.Full Text
-
Ye, F., S. Jin, Z. Zhang, K. Chakrabarty, and X. Gu. “Handling missing syndromes in board-level functional-fault diagnosis.” In Proceedings of the Asian Test Symposium, 73–78, 2013. https://doi.org/10.1109/ATS.2013.22.Full Text
-
Agrawal, M., M. Richter, and K. Chakrabarty. “A dynamic programming solution for optimizing test delivery in multicore SOCs.” In Proceedings International Test Conference, 2012. https://doi.org/10.1109/TEST.2012.6401535.Full Text
-
Deutsch, S., K. Chakrabarty, S. Panth, and S. K. Lim. “TSV stress-aware ATPG for 3D stacked ICs.” In Proceedings of the Asian Test Symposium, 31–36, 2012. https://doi.org/10.1109/ATS.2012.61.Full Text
-
Eggersgluss, S., M. Yilmaz, and K. Chakrabarty. “Robust timing-aware test generation using pseudo-boolean optimization.” In Proceedings of the Asian Test Symposium, 290–95, 2012. https://doi.org/10.1109/ATS.2012.35.Full Text
-
Guarnieri, V., F. Fummi, and K. Chakrabarty. “Reduced-complexity transition-fault test generation for non-scan circuits through high-level mutant injection.” In Proceedings of the Asian Test Symposium, 302–7, 2012. https://doi.org/10.1109/ATS.2012.47.Full Text
-
Hsieh, Y. L., T. Y. Ho, and K. Chakrabarty. “Design methodology for sample preparation on digital microfluidic biochips.” In Proceedings Ieee International Conference on Computer Design: Vlsi in Computers and Processors, 189–94, 2012. https://doi.org/10.1109/ICCD.2012.6378639.Full Text
-
Mitra, D., S. Ghoshal, H. Rahaman, K. Chakrabarty, and B. B. Bhattacharya. “On-line error detection in digital microfluidic biochips.” In Proceedings of the Asian Test Symposium, 332–37, 2012. https://doi.org/10.1109/ATS.2012.56.Full Text
-
Mitra, D., S. Ghoshal, H. Rahaman, K. Chakrabarty, and B. B. Bhattacharya. “Automated path planning for washing in digital microfluidic biochips.” In Ieee International Conference on Automation Science and Engineering, 115–20, 2012. https://doi.org/10.1109/CoASE.2012.6386419.Full Text
-
Noia, B., S. Panth, K. Chakrabarty, and S. K. Lim. “Scan test of die logic in 3D ICs using TSV probing.” In Proceedings International Test Conference, 2012. https://doi.org/10.1109/TEST.2012.6401568.Full Text
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. “Adaptive board-level functional fault diagnosis using decision trees.” In Proceedings of the Asian Test Symposium, 202–7, 2012. https://doi.org/10.1109/ATS.2012.48.Full Text
-
Ye, F., Z. Zhang, K. Chakrabarty, and X. Gu. “Board-level functional fault diagnosis using learning based on incremental support-vector machines.” In Proceedings of the Asian Test Symposium, 208–13, 2012. https://doi.org/10.1109/ATS.2012.49.Full Text
-
Chakrabarty, Kankana. “Attributive Indiscernibility and the notion of BM-Spaces.” In 2012 Ieee International Conference on Granular Computing (Grc 2012), 703–6, 2012.Link to Item
-
Luo, Y., K. Chakrabarty, and T. Y. Ho. “Dictionary-based error recovery in cyberphysical digital-microfluidic biochips.” In Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, 369–76, 2012. https://doi.org/10.1145/2429384.2429463.Full Text
-
Chakrabarty, Krishnendu. “Testing and design-for-testability solutions for 3D integrated circuits.” In 14th Ieee International Symposium on Design and Diagnostics of Electronic Circuits and Systems. IEEE, 2011. https://doi.org/10.1109/ddecs.2011.5783035.Full Text
-
Chakrabarty, Kankana, and Ioan Despi. “On the Notion of IF-Shadows.” In Aici (1), edited by Hepu Deng, Duoqian Miao, Jingsheng Lei, and Fu Lee Wang, 7002:566–70. Springer, 2011.
-
Chakrabarty, Kankana, and Ioan Despi. “A note on knowledge representation using BB-mappings.” In Grc, edited by Tzung-Pei Hong, Yasuo Kudo, Mineichi Kudo, Tsau Young Lin, Been-Chian Chien, Shyue-Liang Wang, Masahiro Inuiguchi, and Guilong Liu, 83–88. IEEE Computer Society, 2011.
-
Chakrabarty, Krishnendu, Paul Pop, and Tsung-Yi Ho. “Digital microfluidic biochips: functional diversity, more than moore, and cyberphysical systems.” In Codes+Isss, edited by Robert P. Dick and Jan Madsen, 377–78. ACM, 2011.
-
Chakrabarty, Krishnendu. “Design and optimization methods for digital microfluidic biochips: A vision for functional diversity and more than moore.” In Socc, 5–5. IEEE, 2011.
-
Despi, Ioan, GuYin Song, and Kankana Chakrabarty. “A new intuitionitic Fuzzy Cognitive Maps building method.” In Fskd, 574–78. IEEE, 2011.
-
Chakrabarty, Kankana. “A Note on the Effect of Knowledge Refinement on Bag Structures.” In Rskt, edited by Jian Yu, Salvatore Greco, Pawan Lingras, Guoyin Wang, and Andrzej Skowron, 6401:281–87. Springer, 2010.
-
Chen, Zhen, Krishnendu Chakrabarty, Dong Xiang, and Dong IEEE. “MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing.” In 2010 Ieee and Acm International Conference on Computer Aided Design (Iccad), 149–54, 2010.Link to Item
-
Zhao, Y., T. Xu, and K. Chakrabarty. “Digital microfluidic logic gates.” In Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering, 3 LNICST:54–60, 2009. https://doi.org/10.1007/978-3-642-02427-6_11.Full Text
-
Chakrabarty, Krishnendu. “Testing bio-chips.” In 2009 Ieee International Conference on Computer Design. IEEE, 2009. https://doi.org/10.1109/iccd.2009.5413137.Full Text
-
Yu, Thomas Edison, Tomokazu Yoneda, Krishnendu Chakrabarty, and Hideo Fujiwara. “Test infrastructure design for core-based system-on-chip under cycle-accurate thermal constraints.” In Asp Dac, edited by Kazutoshi Wakabayashi, 793–98. IEEE, 2009.
-
Zhao, Yang, Ryan Sturmer, Krishnendu Chakrabarty, and Vamsee K. Pamula. “Optimization of Droplet Routing for an n-Plex Bioassay on a Digital Microfluidic Lab-on-Chip.” In 2009 Ieee Biomedical Circuits and Systems Conference (Biocas 2009), 276-+. IEEE, 2009.Link to Item
-
Chakrabarty, K., J. Booth, E. S. Duggan, W. Wu, K. Coggeshall, and J. P. Metcalf. “Differential sensitivity of human and mouse macrophages to bacillus anthracis lethal toxin.” In Journal of Investigative Medicine, 56:625–625, 2008.Link to Item
-
Bahukudumbi, Sudarshan, Krishnendu Chakrabarty, and Richard Kacprowicz. “Test scheduling for wafer-level test-during-burn-in of core-based SoCs.” In 2008 Design, Automation and Test in Europe, Vols 1 3, 1462-+. IEEE, 2008.Link to Item
-
Chakrabarty, Kankana, and Kankana IEEE. “Functional independence of elements and perceptual confidence factors.” In 2008 Annual Meeting of the North American Fuzzy Information Processing Society, Vols 1 and 2, 373–77, 2008.Link to Item
-
Larsson, Anders, Erik Larsson, Krishnendu Chakrabarty, Petru Eles, and Zebo Peng. “Test-architecture optimization and test scheduling for SOCs with core-level expansion of compressed test patterns.” In 2008 Design, Automation and Test in Europe, Vols 1 3, 186-+. IEEE, 2008.Link to Item
-
Xu, Tao, and Krishnendu Chakrabarty. “Broadcast electrode-addressing for pin-constrained multi-functional digital microfluidic biochips.” In Dac, edited by Limor Fix, 173–78. ACM, 2008.
-
Xiang, Dong, Krishnendu Chakrabarty, Dianwei Hu, and Hideo Fujiwara. “Scan Testing for Complete Coverage of Path Delay Faults with Reduced Test Data Volume, Test Application Time, and Hardware Cost.” In 16th Asian Test Symposium (Ats 2007). IEEE, 2007. https://doi.org/10.1109/ats.2007.20.Full Text
-
Yu, Thomas Edison, Tomokazu Yoneda, Krishnendu Chakrabarty, and Hideo Fujiwara. “Thermal-Safe Test Access Mechanism and Wrapper Co-optimization for System-on-Chip.” In 16th Asian Test Symposium (Ats 2007). IEEE, 2007. https://doi.org/10.1109/ats.2007.53.Full Text
-
Chakrabarty, K., J. Booth, E. S. Duggan, K. Coggeshall, and J. P. Metcalf. “ERK, P38, AND JNK SIGNALING PATHWAYS ARE IMPORTANT IN CHEMOKINE AND CYTOKINE INDUCTION BY BACILLUS ANTHRACIS SPORES IN A HUMAN LUNG SLICE MODEL.” In Journal of Investigative Medicine, 55:S353–S353. BMJ, 2007. https://doi.org/10.1097/00042871-200703010-00035.Full Text
-
Chakrabarty, K. “Automated design of microfluidics-based biochips: Connecting biochemistry to electronics CAD.” In Ieee International Conference on Computer Design, Iccd 2006, 93–100, 2006. https://doi.org/10.1109/ICCD.2006.4380800.Full Text
-
Chakrabarty, K., W. Wu, J. L. Booth, E. S. Duggan, K. M. Coggeshall, and J. P. Metcalf. “Bacillus anthracis spores stimulate cytokine and chemokine innate immune responses in human alveolar macrophages through multiple MAPK pathways.” In Journal of Investigative Medicine, 54:S349–S349, 2006.Link to Item
-
Chakrabarty, Kankana, and Sudarsan Nanda. “Yager's Method and IF Default Rules.” In Amt, edited by Yuefeng Li, Mark Looi, and Ning Zhong, 138:356–59. IOS Press, 2006.
-
Chakrabarty, Krishnendu. “Reconfiguration-Based Defect Tolerance for Microfluidic Biochips.” In Dft. IEEE Computer Society, 2006.
-
Zhou, Tong, and Krishnendu Chakrabarty. “Authentication of sensor network flooding based on neighborhood cooperation.” In Wcnc, 665–70. IEEE, 2006.
-
Su, F., and K. Chakrabarty. “Defect tolerance for gracefully-degradable microfluidics-based biochips.” In Proceedings of the Ieee Vlsi Test Symposium, 321–26, 2005. https://doi.org/10.1109/VTS.2005.39.Full Text
-
Chakrabarty, K., and M. Fahim. “Modulation of guinea-pig tracheal smooth muscle activity by low temperature.” In Faseb Journal, 19:A1277–78, 2005.Link to Item
-
Chakrabarty, K., S. Mann, I. D. Dietzel, R. Heumann, and Verlag Ferdinand Schoningh. “Stabilization and enhancement of dopaminergic properties by neuronal activation of Ras in neural stem cells.” In Neuro Visions 2, 77–78, 2005.Link to Item
-
Ray, U., G. A. Khan, K. Chakrabarty, S. Chakrabarty, G. Reddy, and A. K. Sinha. “Appearance of a novel antibody against insulin activated nitric oxide synthase (IANOS) in the circulation in acute coronary syndrome (ACS).” In Clinical Chemistry, 51:A2–3, 2005.Link to Item
-
Wu, W. X., K. Chakrabarty, V. Collins, T. Coksaygan, J. C. Rose, and P. W. Nathanielsz. “Increased maternal plasma prostaglandin (PG) F-2 alpha is associated with maternal progesterone (P4) treatment in pregnant sheep.” In Journal of the Society for Gynecologic Investigation, 11:83A-83A, 2004.Link to Item
-
Wu, W. X., K. Chakrabarty, V. Collins, T. Coksaygan, and P. W. Nathanielsz. “Sufficient progesterone (P)-priming prior to estradiol (E) stimulation is required for optimal induction of the cervical prostaglandin (PG) system.” In Journal of the Society for Gynecologic Investigation, 11:238A-238A, 2004.Link to Item
-
Wu, W. X., R. Wolf, B. Valentine, K. Chakrabarty, C. Valta, N. Unno, A. Sheikh, P. W. Nathanielsz, and J. Rose. “Induction of intrauterine prostaglandin H synthase (PGHS)2 by estradiol after fetal adrenalectomy (ADX).” In Journal of the Society for Gynecologic Investigation, 11:337A-337A, 2004.Link to Item
-
Iyengar, V., A. Chandra, S. Schweizer, and K. Chakrabarty. “A unified approach for SoC testing using test data compression and TAM optimization.” In Proceedings Design, Automation and Test in Europe, Date, 1188–89, 2003. https://doi.org/10.1109/DATE.2003.1253794.Full Text
-
Liu, C., and K. Chakrabarty. “A partition-based approach for identifying failing scan cells in scan-BIST with applications to system-on-chip fault diagnosis.” In Proceedings Design, Automation and Test in Europe, Date, 230–35, 2003. https://doi.org/10.1109/DATE.2003.1253613.Full Text
-
Zhang, Y., and K. Chakrabarty. “Energy-aware adaptive checkpointing in embedded real-time systems.” In Proceedings Design, Automation and Test in Europe, Date, 918–23, 2003. https://doi.org/10.1109/DATE.2003.1253723.Full Text
-
Park, Joong Hyun, Do Young Kim, Jae Kyung Ko, K. Chakrabarty, and Junsin Yi. “High temperature crystallized poly-Si on Mo substrates for TFT application.” In Thin Solid Films, 427:303–8. Elsevier BV, 2003. https://doi.org/10.1016/s0040-6090(02)01156-2.Full Text
-
Chakrabarty, Kankana. “Intuition in Soft Decision Analysis.” In Rsfdgrc, edited by Guoyin Wang, Qing Liu, Yiyu Yao, and Andrzej Skowron, 2639:374–77. Springer, 2003.
-
Dhillon, S. S., and K. Chakrabarty. “Sensor placement for effective coverage and surveillance in distributed sensor networks.” In Ieee Wireless Communications and Networking Conference, Wcnc, 3:1609–14, 2003. https://doi.org/10.1109/WCNC.2003.1200627.Full Text
-
Iyengar, V., K. Chakrabarty, M. D. Krasniewski, and G. N. Kumar. “Design and optimization of multi-level TAM architectures for hierarchical SOCs.” In Proceedings of the Ieee Vlsi Test Symposium, 2003-January:299–304, 2003. https://doi.org/10.1109/VTEST.2003.1197667.Full Text
-
Iyengar, Vikram, Anshuman Chandra, Sharon Schweizer, and Krishnendu Chakrabarty. “A Unified Approach for SOC Testing Using Test Data Compression and TAM Optimization.” In Date, 11188–90. IEEE Computer Society, 2003. https://doi.org/10.1109/DATE.2003.10043.Full Text
-
Li, L., and K. Chakrabarty. “Test data compression using dictionaries with fixed-length indices [SOC testing].” In Proceedings of the Ieee Vlsi Test Symposium, 2003-January:219–24, 2003. https://doi.org/10.1109/VTEST.2003.1197654.Full Text
-
Liu, C., and K. Chakrabarty. “Compact dictionaries for fault diagnosis in BIST.” In Proceedings International Symposium on Quality Electronic Design, Isqed, 2003-January:105–10, 2003. https://doi.org/10.1109/ISQED.2003.1194717.Full Text
-
Liu, Chunsheng, and Krishnendu Chakrabarty. “A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis.” In Date, 10230–37. IEEE Computer Society, 2003. https://doi.org/10.1109/DATE.2003.10074.Full Text
-
Moon, S., K. Kim, J. Yoo, J. PArk, D. Kim, K. Chakrabarty, S. Lee, and J. Yi. “N-2 plasma treatment effects in silicon nitride film formation for silicon surface passivation.” In Proceedings of 3rd World Conference on Photovoltaic Energy Conversion, Vols a C, 102–5, 2003.Link to Item
-
Sehgal, A., A. Dubey, E. J. Marinissen, C. Wouters, H. Vranken, and K. Chakrabarty. “Yield analysis for repairable embedded memories.” In Proceedings of the European Test Workshop, 2003-January:35–40, 2003. https://doi.org/10.1109/ETW.2003.1231666.Full Text
-
Wu, W. X., K. Chakrabarty, X. H. Ma, A. Sheikh, D. Giussani, and P. W. Nathanielsz. “Characterization of progesterone receptor A and B mRNA in the pregnant rhesus monkey myometrium, amnion, chorion and placenta in late gestation and during labor.” In Biology of Reproduction, 68:152–53, 2003.Link to Item
-
Wu, W. X., X. H. Ma, V. Collins, K. Chakrabarty, T. Coksaygan, and P. W. Nathanielsz. “Regulation of prostaglandin E synthase in pregnant sheep intrauterine tissues by estradiol and progesterone.” In Biology of Reproduction, 68:205–205, 2003.Link to Item
-
Zhang, Y., and K. Chakrabarty. “Fault recovery based on checkpointing for hard real-time embedded systems.” In Proceedings Ieee International Symposium on Defect and Fault Tolerance in Vlsi Systems, 2003-January:320–27, 2003. https://doi.org/10.1109/TSM.2005.1250127.Full Text
-
Zhang, Y., and K. Chakrabarty. “Adaptive checkpointing with dynamic voltage scaling in embedded real-time systems.” In Embedded Software for Soc, edited by A. A. Jerraya, S. Yoo, D. Verkest, and N. Wehn, 449–63. KLUWER ACADEMIC PUBLISHERS, 2003.Link to Item
-
Zhang, Ying, and Krishnendu Chakrabarty. “Energy-Aware Adaptive Checkpointing in Embedded Real-Time Systems.” In Date, 10918–25. IEEE Computer Society, 2003. https://doi.org/10.1109/DATE.2003.10177.Full Text
-
Zou, Y., and K. Chakrabarty. “Target localization based on energy considerations in distributed sensor networks.” In Proceedings of the 1st Ieee International Workshop on Sensor Network Protocols and Applications, Snpa 2003, 51–58, 2003. https://doi.org/10.1109/SNPA.2003.1203356.Full Text
-
Chandra, A., and K. Chakrabarty. “Test resource partitioning and reduced pin-count testing based on test data compression.” In Proceedings Design, Automation and Test in Europe, Date, 598–603, 2002. https://doi.org/10.1109/DATE.2002.998362.Full Text
-
Iyengar, V., K. Chakrabarty, and E. J. Marinissen. “Efficient Wrapper/TAM co-optimization for large SOCs.” In Proceedings Design, Automation and Test in Europe, Date, 491–98, 2002. https://doi.org/10.1109/DATE.2002.998318.Full Text
-
Liu, C., K. Chakrabarty, and M. Goessel. “An interval-based diagnosis scheme for identifying failing vectors in a scan-BIST environment.” In Proceedings Design, Automation and Test in Europe, Date, 382–86, 2002. https://doi.org/10.1109/DATE.2002.998302.Full Text
-
McGinnis, J. F., B. Matsumoto, J. P. Whelan, S. Sezate, K. Chakrabarty, and W. Cao. “Cytoskeletal participation in reciprocal translocation of arrestin in rod photoreceptor cells.” In Investigative Ophthalmology & Visual Science, 43:U1033–U1033, 2002.Link to Item
-
Chandra, A., K. Chakrabarty, and R. A. Medina. “How effective are compression codes for reducing test data volume?” In Proceedings of the Ieee Vlsi Test Symposium, 2002-January:91–96, 2002. https://doi.org/10.1109/VTS.2002.1011117.Full Text
-
Dhillon, S. S., K. Chakrabarty, and S. S. Iyengar. “Sensor placement for grid coverage under imprecise detections.” In Proceedings of the 5th International Conference on Information Fusion, Fusion 2002, 2:1581–87, 2002. https://doi.org/10.1109/ICIF.2002.1021005.Full Text
-
Dmitriev, A., M. Gossel, and K. Chakrabarty. “Robust space compaction of test responses.” In Proceedings of the Asian Test Symposium, 2002-January:254–59, 2002. https://doi.org/10.1109/ATS.2002.1181720.Full Text
-
Iyengar, V., K. Chakrabarty, and E. J. Marinissen. “Recent advances in test planning for modular testing of core-based SOCs.” In Proceedings of the Asian Test Symposium, 2002-January:320–25, 2002. https://doi.org/10.1109/ATS.2002.1181731.Full Text
-
Iyengar, V., K. Chakrabarty, and E. J. Marinissen. “On using rectangle packing for SOC wrapper/TAM co-optimization.” In Proceedings of the Ieee Vlsi Test Symposium, 2002-January:253–58, 2002. https://doi.org/10.1109/VTS.2002.1011146.Full Text
-
Iyengar, Vikram, Krishnendu Chakrabarty, and Erik Jan Marinissen. “Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs.” In Dac, 685–90. ACM, 2002.
-
Swaminathan, V., C. B. Schweizer, K. Chakrabarty, and A. A. Patel. “Experiences in implementing an energy-driven task scheduler in RT-Linux.” In Real Time Technology and Applications Proceedings, 229–38, 2002. https://doi.org/10.1109/RTTAS.2002.1137398.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Dynamic I/O power management in real-time systems with multiple-state I/O devices.” In Proceedings of the 5th International Conference on Information Fusion, Fusion 2002, 2:965–72, 2002. https://doi.org/10.1109/ICIF.2002.1020916.Full Text
-
Swaminathan, V., and K. Chakrabarty. “Dynamic I/O power management in real-time systems with multiple-state I/O devices.” In Proceedings of the Fifth International Conference on Information Fusion, Vol Ii, 1588–95. INT SOC INFORMATION FUSION, 2002.Link to Item
-
Chandra, A., and K. Chakrabarty. “Efficient test data compression and decompression for system-on-a-chip using internal scan chains and Golomb coding.” In Proceedings Design, Automation and Test in Europe, Date, 145–49, 2001. https://doi.org/10.1109/DATE.2001.915015.Full Text
-
Bhattacharya, B. B., A. Dmitriev, M. Gössel, and K. Chakrabarty. “Synthesis of single-output space compactors with application to scan-based IP cores.” In Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, 2001-January:496–501, 2001. https://doi.org/10.1109/ASPDAC.2001.913357.Full Text
-
Bhattacharya, Bhargab B., Alexej Dmitriev, Michael Gössel, and Krishnendu Chakrabarty. “Synthesis of single-output space compactors with application to scan-based IP cores.” In Asp Dac, edited by Satoshi Goto, 496–502. ACM, 2001.
-
Chakrabarty, K. “Roughness indicator Fuzzy set.” In Developments in Soft Computing, 56–61, 2001.Link to Item
-
Chakrabarty, K., S. S. Iyengar, H. Qi, and E. Cho. “Coding theory framework for target location in distributed sensor networks.” In Proceedings International Conference on Information Technology: Coding and Computing, Itcc 2001, 130–34, 2001. https://doi.org/10.1109/ITCC.2001.918778.Full Text
-
Qi, H. R., S. S. Iyengar, K. Chakrabarty, and K. IEEE. “Distributed multi-resolution data integration using mobile agents.” In 2001 Ieee Aerospace Conference Proceedings, Vols 1 7, 1133–41, 2001.Link to Item
-
Swaminathan, V., and K. Chakrabarty. “Investigating the effect of voltage-switching on low-energy task scheduling in hard real-time systems.” In Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, 2001-January:251–54, 2001. https://doi.org/10.1109/ASPDAC.2001.913314.Full Text
-
Swaminathan, Vishnu, and Krishnendu Chakrabarty. “Investigating the effect of voltage-switching on low-energy task scheduling in hard real-time systems.” In Asp Dac, edited by Satoshi Goto, 251–251. ACM, 2001.
-
Chakrabarty, K. “On bags and fuzzy bags.” In Soft Computing Techniques and Applications, 201–12, 2000.Link to Item
-
Chakrabarty, Kankana. “On Fuzzy Lattice.” In Rough Sets and Current Trends in Computing, edited by Wojciech Ziarko and Y. Y. Yao, 2005:238–42. Springer, 2000.
-
Date, Hiroshi, Vikram Iyengar, Krishnendu Chakrabarty, and Makoto Sugihara. “Mathematical Modeling of Intellectual Property Protection Using Partially-Mergeable Cores.” In Pdpta, edited by Hamid R. Arabnia. CSREA Press, 2000.
-
BAE, S., K. CHAKRABARTY, T. SEERY, and R. WEISS. “THERMOPROCESSIBLE HYDROGELS. I. SYNTHESIS AND PROPERTIES OF POLYACRYLAMIDES WITH PERFLUOROALKYL SIDE CHAINS.” In Journal of Macromolecular Science, Part a Pure and Applied Chemistry, 36:931–48. Informa UK Limited, 1999. https://doi.org/10.1081/ma-100101573.Full Text
-
Iannella, Renato, Nigel Ward, Kaveri Chakrabarty, and C. Curtis. “Key issues in digital library interoperability.” In Ifip World Conference on It Tools, 31–40, 1996.
-
Chakrabarty, K., and J. P. Hayes. “Efficient test response compression for multiple-output circuits (Accepted).” In Proceedings., International Test Conference. Int. Test Conference, n.d. https://doi.org/10.1109/test.1994.527992.Full Text
-
Chakrabarty, K., and J. P. Hayes. “Balance testing of logic circuits (Accepted).” In Ftcs 23 the Twenty Third International Symposium on Fault Tolerant Computing. IEEE Comput. Soc. Press, n.d. https://doi.org/10.1109/ftcs.1993.627338.Full Text
-
Gangopadhyay, U., H. Saha, S. K. Dutta, S. K. Kyunghae Kim, K. Chakrabarty, and K. Junsin Yi. “Front grid design for plated contact solar cells (Accepted).” In Conference Record of the Twenty Ninth Ieee Photovoltaic Specialists Conference, 2002. IEEE, n.d. https://doi.org/10.1109/pvsc.2002.1190543.Full Text
-
Lee, W. J., J. H. Lee, U. Gangopadhyay, I. O. Parm, K. Chakrabarty, K. Kyunghae Kim, and J. Yi. “High-density hollow cathode plasma etching for large area multicrystalline silicon solar cells (Accepted).” In Conference Record of the Twenty Ninth Ieee Photovoltaic Specialists Conference, 2002. IEEE, n.d. https://doi.org/10.1109/pvsc.2002.1190517.Full Text
-
Pradhan, D. K., D. K. Chunsheng Liu, and K. Chakraborty. “EBIST: a novel test generator with built-in fault detection capability (Accepted).” In 2003 Design, Automation and Test in Europe Conference and Exhibition. IEEE Comput. Soc, n.d. https://doi.org/10.1109/date.2003.1186390.Full Text
-
Shih Ping Lin, Max, Max Chung Len Lee, and J. E. Chen. “A cocktail approach on random access scan toward low power and high efficiency test (Accepted).” In Iccad 2005. Ieee/Acm International Conference on Computer Aided Design, 2005. IEEE, n.d. https://doi.org/10.1109/iccad.2005.1560046.Full Text
-
Ying Zhang, K. A., and K. A. Krishnendu Chakrabarty. “Fault recovery based on checkpointing for hard real-time embedded systems (Accepted).” In Proceedings. 16th Ieee Symposium on Computer Arithmetic. IEEE Comput. Soc, n.d. https://doi.org/10.1109/dftvs.2003.1250127.Full Text
-
-
- Teaching & Mentoring
-
Recent Courses
- ECE 538: VLSI System Testing 2021
- ECE 891: Internship 2021
- ECE 110L9: Fundamentals of Electrical and Computer Engineering - Lab 2020
- ECE 110L: Fundamentals of Electrical and Computer Engineering 2020
- ECE 494: Projects in Electrical and Computer Engineering 2020
- ECE 891: Internship 2020
- COMPSCI 394: Research Independent Study 2019
- ECE 493: Projects in Electrical and Computer Engineering 2019
- ECE 538: VLSI System Testing 2019
- ECE 891: Internship 2019
- ECE 899: Special Readings in Electrical Engineering 2019
Some information on this profile has been compiled automatically from Duke databases and external sources. (Our About page explains how this works.) If you see a problem with the information, please write to Scholars@Duke and let us know. We will reply promptly.