Skip to main content

Nostradamus: Low-cost hardware-only error detection for processor cores

Publication ,  Journal Article
Nathan, R; Sorin, DJ
Published in: Proceedings -Design, Automation and Test in Europe, DATE
2014

Duke Scholars

Published In

Proceedings -Design, Automation and Test in Europe, DATE

ISSN

1530-1591

Publication Date

2014
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Nathan, R., & Sorin, D. J. (2014). Nostradamus: Low-cost hardware-only error detection for processor cores. Proceedings -Design, Automation and Test in Europe, DATE.
Nathan, R., and D. J. Sorin. “Nostradamus: Low-cost hardware-only error detection for processor cores.” Proceedings -Design, Automation and Test in Europe, DATE, 2014.
Nathan R, Sorin DJ. Nostradamus: Low-cost hardware-only error detection for processor cores. Proceedings -Design, Automation and Test in Europe, DATE. 2014;
Nathan, R., and D. J. Sorin. “Nostradamus: Low-cost hardware-only error detection for processor cores.” Proceedings -Design, Automation and Test in Europe, DATE, 2014.
Nathan R, Sorin DJ. Nostradamus: Low-cost hardware-only error detection for processor cores. Proceedings -Design, Automation and Test in Europe, DATE. 2014;

Published In

Proceedings -Design, Automation and Test in Europe, DATE

ISSN

1530-1591

Publication Date

2014