Skip to main content

Nostradamus: Low-cost hardware-only error detection for processor cores

Publication ,  Conference
Nathan, R; Sorin, DJ
Published in: Proceedings -Design, Automation and Test in Europe, DATE
January 1, 2014

We propose a new, low-cost, hardware-only scheme to detect errors in superscalar, out-of-order processor cores. For each instruction decoded, Nostradamus compares what the instruction is expected to do against what the instruction actually does. We implement Nostradamus in RTL on top of a baseline superscalar, out-of-order core, and we experimentally evaluate its ability to detect injected errors. We also evaluate Nostradamus's area and power overheads. © 2014 EDAA.

Duke Scholars

Published In

Proceedings -Design, Automation and Test in Europe, DATE

DOI

ISSN

1530-1591

ISBN

9783981537024

Publication Date

January 1, 2014
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Nathan, R., & Sorin, D. J. (2014). Nostradamus: Low-cost hardware-only error detection for processor cores. In Proceedings -Design, Automation and Test in Europe, DATE. https://doi.org/10.7873/DATE2014.173
Nathan, R., and D. J. Sorin. “Nostradamus: Low-cost hardware-only error detection for processor cores.” In Proceedings -Design, Automation and Test in Europe, DATE, 2014. https://doi.org/10.7873/DATE2014.173.
Nathan R, Sorin DJ. Nostradamus: Low-cost hardware-only error detection for processor cores. In: Proceedings -Design, Automation and Test in Europe, DATE. 2014.
Nathan, R., and D. J. Sorin. “Nostradamus: Low-cost hardware-only error detection for processor cores.” Proceedings -Design, Automation and Test in Europe, DATE, 2014. Scopus, doi:10.7873/DATE2014.173.
Nathan R, Sorin DJ. Nostradamus: Low-cost hardware-only error detection for processor cores. Proceedings -Design, Automation and Test in Europe, DATE. 2014.

Published In

Proceedings -Design, Automation and Test in Europe, DATE

DOI

ISSN

1530-1591

ISBN

9783981537024

Publication Date

January 1, 2014