Skip to main content

VSV: L2-miss-driven variable supply-voltage scaling for low power

Publication ,  Conference
Li, H; Cher, CY; Vijaykumar, TN; Roy, K
Published in: Proceedings of the Annual International Symposium on Microarchitecture, MICRO
January 1, 2003

Energy efficient processor design is becoming more and more important with technology scaling and with high performance requirements. Supply-voltage scaling is an efficient way to reduce energy by lowering the operating voltage and the clock frequency of processor simultaneously. We propose a variable supply-voltage scaling (VSV) technique based on the following key observation: upon an L2 miss, the pipeline performs some independent computations but almost always ends up stalling and waiting for data, despite out-of-order issue and other latency-hiding techniques. Therefore, during an L2 miss we scale down the supply voltage of certain sections of the processor in order to reduce power dissipation while it carries on the independent computations at a lower speed. However, operating at a lower speed may degrade performance, if there are sufficient independent computations to overlap with the L2 miss. Similarly, returning to high speed may degrade power savings, if there are multiple outstanding misses and insufficient independent computations to overlap with them. To avoid these problems, we introduce two state machines that track parallelism on-the-fly, and we scale the supply voltage depending on the level of parallelism. We also consider circuit-level complexity concerns which limit VSV to two supply voltages, stability and signal-propagation speed issues which limit how fast VSV may transition between the voltages, and energy overhead factors which disallow supply-voltage scaling of large RAM structures such as caches and register file. Our simulation shows that VSV achieves an average of 20.7% total processor power reduction with 2.0% performance degradation in an 8-way, out-of-order-issue processor that implements deterministic clock gating and software prefetching, for those SPEC2K benchmarks that have high L2 miss rates. Averaging across all the benchmarks, VSV reduces total processor power by 7.0% with 0.9% performance degradation.

Duke Scholars

Published In

Proceedings of the Annual International Symposium on Microarchitecture, MICRO

DOI

ISSN

1072-4451

ISBN

076952043X

Publication Date

January 1, 2003

Volume

2003-January

Start / End Page

19 / 28
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Li, H., Cher, C. Y., Vijaykumar, T. N., & Roy, K. (2003). VSV: L2-miss-driven variable supply-voltage scaling for low power. In Proceedings of the Annual International Symposium on Microarchitecture, MICRO (Vol. 2003-January, pp. 19–28). https://doi.org/10.1109/MICRO.2003.1253180
Li, H., C. Y. Cher, T. N. Vijaykumar, and K. Roy. “VSV: L2-miss-driven variable supply-voltage scaling for low power.” In Proceedings of the Annual International Symposium on Microarchitecture, MICRO, 2003-January:19–28, 2003. https://doi.org/10.1109/MICRO.2003.1253180.
Li H, Cher CY, Vijaykumar TN, Roy K. VSV: L2-miss-driven variable supply-voltage scaling for low power. In: Proceedings of the Annual International Symposium on Microarchitecture, MICRO. 2003. p. 19–28.
Li, H., et al. “VSV: L2-miss-driven variable supply-voltage scaling for low power.” Proceedings of the Annual International Symposium on Microarchitecture, MICRO, vol. 2003-January, 2003, pp. 19–28. Scopus, doi:10.1109/MICRO.2003.1253180.
Li H, Cher CY, Vijaykumar TN, Roy K. VSV: L2-miss-driven variable supply-voltage scaling for low power. Proceedings of the Annual International Symposium on Microarchitecture, MICRO. 2003. p. 19–28.

Published In

Proceedings of the Annual International Symposium on Microarchitecture, MICRO

DOI

ISSN

1072-4451

ISBN

076952043X

Publication Date

January 1, 2003

Volume

2003-January

Start / End Page

19 / 28