Skip to main content
Journal cover image
Emerging Memory Technologies: Design, Architecture, and Applications

An energy-efficient 3D stacked STT-RAM cache architecture for CMPs

Publication ,  Chapter
Sun, G; Dong, X; Chen, Y; Xie, Y
January 1, 2014

In this chapter, we introduce how to adopt spin-transfer torque random access memory (STT-RAM) as on-chip L2 caches to achieve better performance and lower energy consumption, compared to traditional L2 cache designs. STT-RAM is a promising memory technology for on-chip cache design because of its fast read access, high density, and non-volatility. Using 3D heterogeneous integrations, it becomes feasible and cost-efficient to stack STT-RAM atop conventional chip multiprocessors (CMPs). However, one disadvantage of STT-RAM is its long write latency and its high write energy. In this chapter, we first stack STT-RAM-based L2 caches directly atop CMPs and compare it against SRAM counterparts in terms of performance and energy. We observe that the direct STT-RAM stacking might harm the chip performance due to the aforementioned long write latency and high write energy. To solve this problem, we then propose two architectural techniques: read-preemptive write buffer and SRAM-STT-RAM hybrid L2 cache. The simulation result shows that our optimized STT-RAM L2 cache improves performance by 4.91 % and reduces power by 73.5 % compared to the conventional SRAM L2 cache with the similar area.

Duke Scholars

DOI

ISBN

9781441995506

Publication Date

January 1, 2014

Volume

9781441995513

Start / End Page

145 / 167
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Sun, G., Dong, X., Chen, Y., & Xie, Y. (2014). An energy-efficient 3D stacked STT-RAM cache architecture for CMPs. In Emerging Memory Technologies: Design, Architecture, and Applications (Vol. 9781441995513, pp. 145–167). https://doi.org/10.1007/978-1-4419-9551-3_6
Sun, G., X. Dong, Y. Chen, and Y. Xie. “An energy-efficient 3D stacked STT-RAM cache architecture for CMPs.” In Emerging Memory Technologies: Design, Architecture, and Applications, 9781441995513:145–67, 2014. https://doi.org/10.1007/978-1-4419-9551-3_6.
Sun G, Dong X, Chen Y, Xie Y. An energy-efficient 3D stacked STT-RAM cache architecture for CMPs. In: Emerging Memory Technologies: Design, Architecture, and Applications. 2014. p. 145–67.
Sun, G., et al. “An energy-efficient 3D stacked STT-RAM cache architecture for CMPs.” Emerging Memory Technologies: Design, Architecture, and Applications, vol. 9781441995513, 2014, pp. 145–67. Scopus, doi:10.1007/978-1-4419-9551-3_6.
Sun G, Dong X, Chen Y, Xie Y. An energy-efficient 3D stacked STT-RAM cache architecture for CMPs. Emerging Memory Technologies: Design, Architecture, and Applications. 2014. p. 145–167.
Journal cover image

DOI

ISBN

9781441995506

Publication Date

January 1, 2014

Volume

9781441995513

Start / End Page

145 / 167