Skip to main content
construction release_alert
Scholars@Duke will be undergoing maintenance April 11-15. Some features may be unavailable during this time.
cancel

Correlation-aware statistical timing analysis with non-gaussian delay distributions

Publication ,  Conference
Zhan, Y; Strojwas, AJ; Li, X; Pileggi, LT; Newmark, D; Sharma, M
Published in: Proceedings - Design Automation Conference
January 1, 2005

Process variations have a growing impact on circuit performance for today's integrated circuit (IC) technologies. The Non-Gaussian delay distributions as well as the correlations among delays make statistical timing analysis more challenging than ever. In this paper, we present an efficient block-based statistical timing analysis approach with linear complexity with respect to the circuit size, which can accurately predict Non-Gaussian delay distributions from realistic nonlinear gate and interconnect delay models. This approach accounts for all correlations, from manufacturing process dependence, to re-convergent circuit paths to produce more accurate statistical timing predictions. With this approach, circuit designers can have increased confidence in the variation estimates, at a low additional computation cost. Copyright 2005 ACM.

Duke Scholars

Published In

Proceedings - Design Automation Conference

DOI

ISSN

0738-100X

Publication Date

January 1, 2005

Start / End Page

77 / 82
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Zhan, Y., Strojwas, A. J., Li, X., Pileggi, L. T., Newmark, D., & Sharma, M. (2005). Correlation-aware statistical timing analysis with non-gaussian delay distributions. In Proceedings - Design Automation Conference (pp. 77–82). https://doi.org/10.1109/dac.2005.193777
Zhan, Y., A. J. Strojwas, X. Li, L. T. Pileggi, D. Newmark, and M. Sharma. “Correlation-aware statistical timing analysis with non-gaussian delay distributions.” In Proceedings - Design Automation Conference, 77–82, 2005. https://doi.org/10.1109/dac.2005.193777.
Zhan Y, Strojwas AJ, Li X, Pileggi LT, Newmark D, Sharma M. Correlation-aware statistical timing analysis with non-gaussian delay distributions. In: Proceedings - Design Automation Conference. 2005. p. 77–82.
Zhan, Y., et al. “Correlation-aware statistical timing analysis with non-gaussian delay distributions.” Proceedings - Design Automation Conference, 2005, pp. 77–82. Scopus, doi:10.1109/dac.2005.193777.
Zhan Y, Strojwas AJ, Li X, Pileggi LT, Newmark D, Sharma M. Correlation-aware statistical timing analysis with non-gaussian delay distributions. Proceedings - Design Automation Conference. 2005. p. 77–82.

Published In

Proceedings - Design Automation Conference

DOI

ISSN

0738-100X

Publication Date

January 1, 2005

Start / End Page

77 / 82