Skip to main content

RC-NVM: Dual-Addressing Non-Volatile Memory Architecture Supporting Both Row and Column Memory Accesses

Publication ,  Journal Article
Li, S; Xiao, N; Wang, P; Sun, G; Wang, X; Chen, Y; Li, H; Cong, J; Zhang, T
Published in: IEEE Transactions on Computers
February 1, 2019

Although emerging non-volatile memories (NVMs) have been comprehensively studied to design next-generation memory systems, the symmetry of the crossbar structure adopted by most NVMs has not been addressed. In this work, we argue that crossbar-based NVMs can enable dual-addressing memory architecture, i.e., RC-NVM, to support both row- and column-oriented memory accesses for workloads with different access patterns. Through circuit-level analysis, we first prove that such a dual-addressing architecture is only practical with crossbar-based NVMs rather than DRAM. Then, we introduce the RC-NVM architecture from bank, chip and module levels, and propose RC-NVM aware memory controller. We also address the challenges to implement the end-to-end RC-NVM system. Especially, we design a novel protocol to solve the cache synonym problem with very little overhead. Finally, we introduce the deployment of RC-NVM for in-memory databases (IMDBs) and evaluate its performance with IMDBs and well-optimized general matrix multiply (GEMM) workloads. Experimental results show that with only 10 percent area overhead 1) the memory access performance of IMDBs can be improved up to 14.5X, and 2) for GEMM, RC-NVM naturally supports SIMD operations and outperforms the best tiled layout by 19 percent.

Duke Scholars

Altmetric Attention Stats
Dimensions Citation Stats

Published In

IEEE Transactions on Computers

DOI

EISSN

1557-9956

ISSN

0018-9340

Publication Date

February 1, 2019

Volume

68

Issue

2

Start / End Page

239 / 254

Related Subject Headings

  • Computer Hardware & Architecture
  • 4606 Distributed computing and systems software
  • 4009 Electronics, sensors and digital hardware
  • 1006 Computer Hardware
  • 0805 Distributed Computing
  • 0803 Computer Software
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Li, S., Xiao, N., Wang, P., Sun, G., Wang, X., Chen, Y., … Zhang, T. (2019). RC-NVM: Dual-Addressing Non-Volatile Memory Architecture Supporting Both Row and Column Memory Accesses. IEEE Transactions on Computers, 68(2), 239–254. https://doi.org/10.1109/TC.2018.2868368
Li, S., N. Xiao, P. Wang, G. Sun, X. Wang, Y. Chen, H. Li, J. Cong, and T. Zhang. “RC-NVM: Dual-Addressing Non-Volatile Memory Architecture Supporting Both Row and Column Memory Accesses.” IEEE Transactions on Computers 68, no. 2 (February 1, 2019): 239–54. https://doi.org/10.1109/TC.2018.2868368.
Li S, Xiao N, Wang P, Sun G, Wang X, Chen Y, et al. RC-NVM: Dual-Addressing Non-Volatile Memory Architecture Supporting Both Row and Column Memory Accesses. IEEE Transactions on Computers. 2019 Feb 1;68(2):239–54.
Li, S., et al. “RC-NVM: Dual-Addressing Non-Volatile Memory Architecture Supporting Both Row and Column Memory Accesses.” IEEE Transactions on Computers, vol. 68, no. 2, Feb. 2019, pp. 239–54. Scopus, doi:10.1109/TC.2018.2868368.
Li S, Xiao N, Wang P, Sun G, Wang X, Chen Y, Li H, Cong J, Zhang T. RC-NVM: Dual-Addressing Non-Volatile Memory Architecture Supporting Both Row and Column Memory Accesses. IEEE Transactions on Computers. 2019 Feb 1;68(2):239–254.

Published In

IEEE Transactions on Computers

DOI

EISSN

1557-9956

ISSN

0018-9340

Publication Date

February 1, 2019

Volume

68

Issue

2

Start / End Page

239 / 254

Related Subject Headings

  • Computer Hardware & Architecture
  • 4606 Distributed computing and systems software
  • 4009 Electronics, sensors and digital hardware
  • 1006 Computer Hardware
  • 0805 Distributed Computing
  • 0803 Computer Software