Skip to main content

RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases

Publication ,  Conference
Wang, P; Li, S; Sun, G; Wang, X; Chen, Y; Li, H; Cong, J; Xiao, N; Zhang, T
Published in: Proceedings - International Symposium on High-Performance Computer Architecture
March 27, 2018

Ever increasing DRAM capacity has fostered the development of in-memory databases (IMDB). The massive performance improvements provided by IMDBs have enabled transactions and analytics on the same database. In other words, the integration of OLTP (on-line transactional processing) and OLAP (on-line analytical processing) systems is becoming a general trend. However, conventional DRAM-based main memory is optimized for row-oriented accesses generated by OLTP workloads in row-based databases. OLAP queries scanning on specified columns cause so-called strided accesses and result in poor memory performance. Since memory access latency dominates in IMDB processing time, it can degrade overall performance significantly. To overcome this problem, we propose a dual-addressable memory architecture based on non-volatile memory, called RC-NVM, to support both row-oriented and column-oriented accesses. We first present circuit-level analysis to prove that such a dual-addressable architecture is only practical with RC-NVM rather than DRAM technology. Then, we rethink the addressing schemes, data layouts, cache synonym, and coherence issues of RC-NVM in architectural level to make it applicable for IMDBs. Finally, we propose a group caching technique that combines the IMDB knowledge with the memory architecture to further optimize the system. Experimental results show that the memory access performance can be improved up to 14.5X with only 15% area overhead.

Duke Scholars

Published In

Proceedings - International Symposium on High-Performance Computer Architecture

DOI

ISSN

1530-0897

ISBN

9781538636596

Publication Date

March 27, 2018

Volume

2018-February

Start / End Page

518 / 530
 

Citation

APA
Chicago
ICMJE
MLA
NLM
Wang, P., Li, S., Sun, G., Wang, X., Chen, Y., Li, H., … Zhang, T. (2018). RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases. In Proceedings - International Symposium on High-Performance Computer Architecture (Vol. 2018-February, pp. 518–530). https://doi.org/10.1109/HPCA.2018.00051
Wang, P., S. Li, G. Sun, X. Wang, Y. Chen, H. Li, J. Cong, N. Xiao, and T. Zhang. “RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases.” In Proceedings - International Symposium on High-Performance Computer Architecture, 2018-February:518–30, 2018. https://doi.org/10.1109/HPCA.2018.00051.
Wang P, Li S, Sun G, Wang X, Chen Y, Li H, et al. RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases. In: Proceedings - International Symposium on High-Performance Computer Architecture. 2018. p. 518–30.
Wang, P., et al. “RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases.” Proceedings - International Symposium on High-Performance Computer Architecture, vol. 2018-February, 2018, pp. 518–30. Scopus, doi:10.1109/HPCA.2018.00051.
Wang P, Li S, Sun G, Wang X, Chen Y, Li H, Cong J, Xiao N, Zhang T. RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases. Proceedings - International Symposium on High-Performance Computer Architecture. 2018. p. 518–530.

Published In

Proceedings - International Symposium on High-Performance Computer Architecture

DOI

ISSN

1530-0897

ISBN

9781538636596

Publication Date

March 27, 2018

Volume

2018-February

Start / End Page

518 / 530